Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c1d3' (ED25519) to the list of known hosts.

You can reproduce this build on your computer by running:

  sudo dnf install copr-rpmbuild
  /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/8335460-fedora-39-x86_64 --chroot fedora-39-x86_64


Version: 1.2
PID: 9281
Logging PID: 9282
Task:
{'allow_user_ssh': False,
 'appstream': False,
 'background': False,
 'build_id': 8335460,
 'buildroot_pkgs': [],
 'chroot': 'fedora-39-x86_64',
 'enable_net': True,
 'fedora_review': False,
 'git_hash': 'd058d1162505d297d108760bd6865082015320f7',
 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys',
 'isolation': 'default',
 'memory_reqs': 2048,
 'package_name': 'yosys',
 'package_version': '0.47-20241203.0.git889894a6',
 'project_dirname': 'HDL',
 'project_name': 'HDL',
 'project_owner': 'rezso',
 'repo_priority': None,
 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/HDL/fedora-39-x86_64/',
            'id': 'copr_base',
            'name': 'Copr repository',
            'priority': None},
           {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/ML/fedora-39-x86_64/',
            'id': 'copr_rezso_ML',
            'name': 'Additional repo copr_rezso_ML'},
           {'baseurl': 'https://download.copr.fedorainfracloud.org/results/rezso/CUDA/fedora-39-x86_64/',
            'id': 'copr_rezso_CUDA',
            'name': 'Additional repo copr_rezso_CUDA'},
           {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/x86_64',
            'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64',
            'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_x86_64'},
           {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/sbsa',
            'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa',
            'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_sbsa'},
           {'baseurl': 'http://developer.download.nvidia.com/compute/cuda/repos/rhel8/ppc64le',
            'id': 'http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le',
            'name': 'Additional repo http_developer_download_nvidia_com_compute_cuda_repos_rhel8_ppc64le'}],
 'sandbox': 'rezso/HDL--rezso',
 'source_json': {},
 'source_type': None,
 'ssh_public_keys': None,
 'storage': None,
 'submitter': 'rezso',
 'tags': [],
 'task_id': '8335460-fedora-39-x86_64',
 'timeout': 172800,
 'uses_devel_repo': False,
 'with_opts': [],
 'without_opts': []}

Running: git clone https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys --depth 500 --no-single-branch --recursive

cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/rezso/HDL/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys', '--depth', '500', '--no-single-branch', '--recursive']
cwd: .
rc: 0
stdout: 
stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys'...

Running: git checkout d058d1162505d297d108760bd6865082015320f7 --

cmd: ['git', 'checkout', 'd058d1162505d297d108760bd6865082015320f7', '--']
cwd: /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys
rc: 0
stdout: 
stderr: Note: switching to 'd058d1162505d297d108760bd6865082015320f7'.

You are in 'detached HEAD' state. You can look around, make experimental
changes and commit them, and you can discard any commits you make in this
state without impacting any branches by switching back to a branch.

If you want to create a new branch to retain commits you create, you may
do so (now or later) by using -c with the switch command. Example:

  git switch -c <new-branch-name>

Or undo this operation with:

  git switch -

Turn off this advice by setting config variable advice.detachedHead to false

HEAD is now at d058d11 automatic import of yosys

Running: dist-git-client sources

cmd: ['dist-git-client', 'sources']
cwd: /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys
rc: 0
stdout: 
INFO: Reading stdout from command: git rev-parse HEAD
INFO: Reading sources specification file: sources

/usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated
Running (timeout=172800): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1733187162.750318 -r /var/lib/copr-rpmbuild/results/configs/child.cfg
INFO: mock.py version 5.9 starting (python version = 3.13.0, NVR = mock-5.9-1.fc41), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1733187162.750318 -r /var/lib/copr-rpmbuild/results/configs/child.cfg
Start(bootstrap): init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish(bootstrap): init plugins
Start: init plugins
INFO: tmpfs initialized
INFO: selinux enabled
INFO: chroot_scan: initialized
INFO: compress_logs: initialized
Finish: init plugins
INFO: Signal handler active
Start: run
INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys/yosys.spec)  Config(fedora-39-x86_64)
Start: clean chroot
Finish: clean chroot
Mock Version: 5.9
INFO: Mock Version: 5.9
Start(bootstrap): chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1733187162.750318/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start(bootstrap): cleaning package manager metadata
Finish(bootstrap): cleaning package manager metadata
INFO: Guessed host environment type: unknown
INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39
INFO: Pulling image: registry.fedoraproject.org/fedora:39
INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-x86_64-bootstrap-1733187162.750318/root
INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture
INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount
INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/05b4c9a8c1fd880e3791357baf4b8e35753437c70fe5449ffa51108d181bea51/merged
INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/05b4c9a8c1fd880e3791357baf4b8e35753437c70fe5449ffa51108d181bea51/merged) with podman image umount
INFO: Package manager dnf4 detected and used (fallback)
INFO: Bootstrap image not marked ready
Start(bootstrap): installing dnf tooling
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                 6.6 MB/s | 1.3 MB     00:00    
Additional repo copr_rezso_ML                   6.5 MB/s | 859 kB     00:00    
Additional repo copr_rezso_CUDA                 772 kB/s |  83 kB     00:00    
Additional repo http_developer_download_nvidia_  34 MB/s | 4.3 MB     00:00    
Additional repo http_developer_download_nvidia_ 6.2 MB/s | 2.6 MB     00:00    
Additional repo http_developer_download_nvidia_ 8.3 MB/s | 1.9 MB     00:00    
fedora                                           33 MB/s |  89 MB     00:02    
updates                                          29 MB/s |  42 MB     00:01    
Package python3-dnf-4.21.1-1.fc39.noarch is already installed.
Dependencies resolved.
================================================================================
 Package                      Arch       Version              Repository   Size
================================================================================
Installing:
 python3-dnf-plugins-core     noarch     4.9.0-1.fc39         updates     320 k
Installing dependencies:
 dbus-libs                    x86_64     1:1.14.10-1.fc39     fedora      156 k
 python3-dateutil             noarch     1:2.8.2-10.fc39      fedora      355 k
 python3-dbus                 x86_64     1.3.2-4.fc39         fedora      157 k
 python3-distro               noarch     1.8.0-6.fc39         fedora       49 k
 python3-six                  noarch     1.16.0-12.fc39       fedora       41 k
 python3-systemd              x86_64     235-5.fc39           fedora      107 k

Transaction Summary
================================================================================
Install  7 Packages

Total download size: 1.2 M
Installed size: 3.6 M
Downloading Packages:
(1/7): python3-dbus-1.3.2-4.fc39.x86_64.rpm     458 kB/s | 157 kB     00:00    
(2/7): dbus-libs-1.14.10-1.fc39.x86_64.rpm      441 kB/s | 156 kB     00:00    
(3/7): python3-dateutil-2.8.2-10.fc39.noarch.rp 870 kB/s | 355 kB     00:00    
(4/7): python3-distro-1.8.0-6.fc39.noarch.rpm   675 kB/s |  49 kB     00:00    
(5/7): python3-six-1.16.0-12.fc39.noarch.rpm    552 kB/s |  41 kB     00:00    
(6/7): python3-systemd-235-5.fc39.x86_64.rpm    1.5 MB/s | 107 kB     00:00    
(7/7): python3-dnf-plugins-core-4.9.0-1.fc39.no 994 kB/s | 320 kB     00:00    
--------------------------------------------------------------------------------
Total                                           1.5 MB/s | 1.2 MB     00:00     
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Preparing        :                                                        1/1 
  Installing       : python3-systemd-235-5.fc39.x86_64                      1/7 
  Installing       : python3-six-1.16.0-12.fc39.noarch                      2/7 
  Installing       : python3-dateutil-1:2.8.2-10.fc39.noarch                3/7 
  Installing       : python3-distro-1.8.0-6.fc39.noarch                     4/7 
  Installing       : dbus-libs-1:1.14.10-1.fc39.x86_64                      5/7 
  Installing       : python3-dbus-1.3.2-4.fc39.x86_64                       6/7 
  Installing       : python3-dnf-plugins-core-4.9.0-1.fc39.noarch           7/7 
  Running scriptlet: python3-dnf-plugins-core-4.9.0-1.fc39.noarch           7/7 
  Verifying        : dbus-libs-1:1.14.10-1.fc39.x86_64                      1/7 
  Verifying        : python3-dateutil-1:2.8.2-10.fc39.noarch                2/7 
  Verifying        : python3-dbus-1.3.2-4.fc39.x86_64                       3/7 
  Verifying        : python3-distro-1.8.0-6.fc39.noarch                     4/7 
  Verifying        : python3-six-1.16.0-12.fc39.noarch                      5/7 
  Verifying        : python3-systemd-235-5.fc39.x86_64                      6/7 
  Verifying        : python3-dnf-plugins-core-4.9.0-1.fc39.noarch           7/7 

Installed:
  dbus-libs-1:1.14.10-1.fc39.x86_64                                             
  python3-dateutil-1:2.8.2-10.fc39.noarch                                       
  python3-dbus-1.3.2-4.fc39.x86_64                                              
  python3-distro-1.8.0-6.fc39.noarch                                            
  python3-dnf-plugins-core-4.9.0-1.fc39.noarch                                  
  python3-six-1.16.0-12.fc39.noarch                                             
  python3-systemd-235-5.fc39.x86_64                                             

Complete!
Finish(bootstrap): installing dnf tooling
Start(bootstrap): creating root cache
Finish(bootstrap): creating root cache
Finish(bootstrap): chroot init
Start: chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-1733187162.750318/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start: cleaning package manager metadata
Finish: cleaning package manager metadata
INFO: enabled HW Info plugin
INFO: Package manager dnf4 detected and used (direct choice)
INFO: Buildroot is handled by package management downloaded with a bootstrap image:
  rpm-4.19.1.1-1.fc39.x86_64
  rpm-sequoia-1.7.0-1.fc39.x86_64
  python3-dnf-4.21.1-1.fc39.noarch
  python3-dnf-plugins-core-4.9.0-1.fc39.noarch
  yum-4.21.1-1.fc39.noarch
Start: installing minimal buildroot with dnf
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                  10 MB/s | 1.3 MB     00:00    
Additional repo copr_rezso_ML                   7.3 MB/s | 859 kB     00:00    
Additional repo copr_rezso_CUDA                 963 kB/s |  83 kB     00:00    
Additional repo http_developer_download_nvidia_  37 MB/s | 4.3 MB     00:00    
Additional repo http_developer_download_nvidia_  25 MB/s | 2.6 MB     00:00    
Additional repo http_developer_download_nvidia_  22 MB/s | 1.9 MB     00:00    
fedora                                           39 MB/s |  89 MB     00:02    
updates                                         5.1 MB/s |  42 MB     00:08    
Dependencies resolved.
================================================================================
 Package                       Arch   Version                     Repo     Size
================================================================================
Installing group/module packages:
 bash                          x86_64 5.2.26-1.fc39               updates 1.8 M
 bzip2                         x86_64 1.0.8-16.fc39               fedora   52 k
 coreutils                     x86_64 9.3-7.fc39                  updates 1.1 M
 cpio                          x86_64 2.14-4.fc39                 fedora  279 k
 diffutils                     x86_64 3.10-3.fc39                 fedora  398 k
 fedora-release-common         noarch 39-36                       updates  19 k
 findutils                     x86_64 1:4.9.0-6.fc39              updates 490 k
 gawk                          x86_64 5.2.2-2.fc39                fedora  1.1 M
 glibc-minimal-langpack        x86_64 2.38-19.fc39                updates  65 k
 grep                          x86_64 3.11-3.fc39                 fedora  298 k
 gzip                          x86_64 1.12-6.fc39                 fedora  166 k
 info                          x86_64 7.0.3-3.fc39                fedora  182 k
 patch                         x86_64 2.7.6-22.fc39               fedora  125 k
 redhat-rpm-config             noarch 266-1.fc39                  updates  78 k
 rpm-build                     x86_64 4.19.1.1-1.fc39             updates  78 k
 sed                           x86_64 4.8-14.fc39                 fedora  306 k
 shadow-utils                  x86_64 2:4.14.0-2.fc39             updates 1.3 M
 tar                           x86_64 2:1.35-2.fc39               fedora  864 k
 unzip                         x86_64 6.0-62.fc39                 fedora  184 k
 util-linux                    x86_64 2.39.4-1.fc39               updates 1.2 M
 which                         x86_64 2.21-40.fc39                fedora   42 k
 xz                            x86_64 5.4.4-1.fc39                fedora  556 k
Installing dependencies:
 alternatives                  x86_64 1.26-1.fc39                 updates  39 k
 ansible-srpm-macros           noarch 1-12.fc39                   updates  21 k
 audit-libs                    x86_64 3.1.5-1.fc39                updates 123 k
 authselect                    x86_64 1.4.3-1.fc39                fedora  149 k
 authselect-libs               x86_64 1.4.3-1.fc39                fedora  249 k
 basesystem                    noarch 11-18.fc39                  fedora  7.2 k
 binutils                      x86_64 2.40-14.fc39                updates 5.6 M
 binutils-gold                 x86_64 2.40-14.fc39                updates 795 k
 bzip2-libs                    x86_64 1.0.8-16.fc39               fedora   41 k
 ca-certificates               noarch 2024.2.69_v8.0.401-1.0.fc39 updates 871 k
 coreutils-common              x86_64 9.3-7.fc39                  updates 2.1 M
 cracklib                      x86_64 2.9.11-2.fc39               fedora   94 k
 crypto-policies               noarch 20231204-1.git1e3a2e4.fc39  updates 100 k
 curl                          x86_64 8.2.1-5.fc39                updates 344 k
 cyrus-sasl-lib                x86_64 2.1.28-11.fc39              fedora  793 k
 debugedit                     x86_64 5.0-12.fc39                 updates  79 k
 dwz                           x86_64 0.15-3.fc39                 fedora  134 k
 ed                            x86_64 1.19-4.fc39                 fedora   79 k
 efi-srpm-macros               noarch 5-9.fc39                    fedora   22 k
 elfutils                      x86_64 0.192-4.fc39                updates 574 k
 elfutils-debuginfod-client    x86_64 0.192-4.fc39                updates  43 k
 elfutils-default-yama-scope   noarch 0.192-4.fc39                updates  12 k
 elfutils-libelf               x86_64 0.192-4.fc39                updates 208 k
 elfutils-libs                 x86_64 0.192-4.fc39                updates 267 k
 fedora-gpg-keys               noarch 39-2                        updates 130 k
 fedora-release                noarch 39-36                       updates 8.6 k
 fedora-release-identity-basic noarch 39-36                       updates 9.4 k
 fedora-repos                  noarch 39-2                        updates 9.3 k
 file                          x86_64 5.44-5.fc39                 fedora   49 k
 file-libs                     x86_64 5.44-5.fc39                 fedora  729 k
 filesystem                    x86_64 3.18-6.fc39                 fedora  1.1 M
 fonts-srpm-macros             noarch 1:2.0.5-12.fc39             fedora   26 k
 forge-srpm-macros             noarch 0.3.1-1.fc39                updates  19 k
 fpc-srpm-macros               noarch 1.3-8.fc39                  fedora  7.4 k
 gdb-minimal                   x86_64 15.1-1.fc39                 updates 4.3 M
 gdbm-libs                     x86_64 1:1.23-4.fc39               fedora   56 k
 ghc-srpm-macros               noarch 1.6.1-2.fc39                fedora  7.8 k
 glibc                         x86_64 2.38-19.fc39                updates 2.1 M
 glibc-common                  x86_64 2.38-19.fc39                updates 344 k
 glibc-gconv-extra             x86_64 2.38-19.fc39                updates 1.6 M
 gmp                           x86_64 1:6.2.1-5.fc39              fedora  313 k
 gnat-srpm-macros              noarch 6-3.fc39                    fedora  8.8 k
 go-srpm-macros                noarch 3.5.0-1.fc39                updates  28 k
 jansson                       x86_64 2.13.1-7.fc39               fedora   44 k
 json-c                        x86_64 0.17-1.fc39                 fedora   43 k
 kernel-srpm-macros            noarch 1.0-20.fc39                 fedora   10 k
 keyutils-libs                 x86_64 1.6.3-1.fc39                updates  31 k
 krb5-libs                     x86_64 1.21.3-2.fc39               updates 766 k
 libacl                        x86_64 2.3.1-9.fc39                updates  23 k
 libarchive                    x86_64 3.7.1-3.fc39                updates 407 k
 libattr                       x86_64 2.5.1-8.fc39                fedora   18 k
 libblkid                      x86_64 2.39.4-1.fc39               updates 116 k
 libbrotli                     x86_64 1.1.0-1.fc39                fedora  336 k
 libcap                        x86_64 2.48-9.fc39                 updates  68 k
 libcap-ng                     x86_64 0.8.3-8.fc39                fedora   32 k
 libcom_err                    x86_64 1.47.0-2.fc39               fedora   26 k
 libcurl                       x86_64 8.2.1-5.fc39                updates 322 k
 libdb                         x86_64 5.3.28-56.fc39              fedora  760 k
 libeconf                      x86_64 0.5.2-2.fc39                updates  30 k
 libevent                      x86_64 2.1.12-9.fc39               fedora  258 k
 libfdisk                      x86_64 2.39.4-1.fc39               updates 161 k
 libffi                        x86_64 3.4.4-4.fc39                fedora   40 k
 libgcc                        x86_64 13.3.1-3.fc39               updates 120 k
 libgomp                       x86_64 13.3.1-3.fc39               updates 329 k
 libidn2                       x86_64 2.3.7-1.fc39                updates 119 k
 libmount                      x86_64 2.39.4-1.fc39               updates 154 k
 libnghttp2                    x86_64 1.55.1-5.fc39               updates  75 k
 libnsl2                       x86_64 2.0.0-6.fc39                fedora   30 k
 libpkgconf                    x86_64 1.9.5-2.fc39                fedora   38 k
 libpsl                        x86_64 0.21.2-4.fc39               fedora   63 k
 libpwquality                  x86_64 1.4.5-6.fc39                fedora  120 k
 libselinux                    x86_64 3.5-5.fc39                  fedora   87 k
 libsemanage                   x86_64 3.5-4.fc39                  fedora  120 k
 libsepol                      x86_64 3.5-2.fc39                  fedora  324 k
 libsigsegv                    x86_64 2.14-5.fc39                 fedora   27 k
 libsmartcols                  x86_64 2.39.4-1.fc39               updates  67 k
 libssh                        x86_64 0.10.6-2.fc39               updates 212 k
 libssh-config                 noarch 0.10.6-2.fc39               updates 9.0 k
 libstdc++                     x86_64 13.3.1-3.fc39               updates 870 k
 libtasn1                      x86_64 4.19.0-3.fc39               fedora   74 k
 libtirpc                      x86_64 1.3.6-0.fc39                updates  94 k
 libtool-ltdl                  x86_64 2.4.7-7.fc39                fedora   36 k
 libunistring                  x86_64 1.1-5.fc39                  fedora  543 k
 libutempter                   x86_64 1.2.1-10.fc39               fedora   26 k
 libuuid                       x86_64 2.39.4-1.fc39               updates  28 k
 libverto                      x86_64 0.3.2-6.fc39                fedora   20 k
 libxcrypt                     x86_64 4.4.36-2.fc39               fedora  119 k
 libxml2                       x86_64 2.10.4-3.fc39               fedora  701 k
 libzstd                       x86_64 1.5.6-1.fc39                updates 312 k
 lua-libs                      x86_64 5.4.6-3.fc39                fedora  133 k
 lua-srpm-macros               noarch 1-13.fc39                   updates 8.7 k
 lz4-libs                      x86_64 1.9.4-4.fc39                fedora   67 k
 mpfr                          x86_64 4.2.0-3.fc39                fedora  344 k
 ncurses-base                  noarch 6.4-7.20230520.fc39.1       updates  88 k
 ncurses-libs                  x86_64 6.4-7.20230520.fc39.1       updates 336 k
 ocaml-srpm-macros             noarch 8-2.fc39                    fedora   14 k
 openblas-srpm-macros          noarch 2-14.fc39                   fedora  7.5 k
 openldap                      x86_64 2.6.7-1.fc39                updates 254 k
 openssl-libs                  x86_64 1:3.1.4-4.fc39              updates 2.2 M
 p11-kit                       x86_64 0.25.5-1.fc39               updates 515 k
 p11-kit-trust                 x86_64 0.25.5-1.fc39               updates 138 k
 package-notes-srpm-macros     noarch 0.5-9.fc39                  fedora   11 k
 pam                           x86_64 1.5.3-3.fc39                updates 542 k
 pam-libs                      x86_64 1.5.3-3.fc39                updates  56 k
 pcre2                         x86_64 10.42-1.fc39.2              fedora  233 k
 pcre2-syntax                  noarch 10.42-1.fc39.2              fedora  143 k
 perl-srpm-macros              noarch 1-51.fc39                   fedora  8.0 k
 pkgconf                       x86_64 1.9.5-2.fc39                fedora   42 k
 pkgconf-m4                    noarch 1.9.5-2.fc39                fedora   14 k
 pkgconf-pkg-config            x86_64 1.9.5-2.fc39                fedora  9.6 k
 popt                          x86_64 1.19-3.fc39                 fedora   66 k
 publicsuffix-list-dafsa       noarch 20240107-1.fc39             updates  58 k
 pyproject-srpm-macros         noarch 1.16.0-1.fc39               updates  14 k
 python-srpm-macros            noarch 3.12-8.fc39                 updates  23 k
 qt5-srpm-macros               noarch 5.15.14-2.fc39              updates 8.9 k
 qt6-srpm-macros               noarch 6.6.2-1.fc39                updates 8.9 k
 readline                      x86_64 8.2-6.fc39                  updates 212 k
 rpm                           x86_64 4.19.1.1-1.fc39             updates 538 k
 rpm-build-libs                x86_64 4.19.1.1-1.fc39             updates  95 k
 rpm-libs                      x86_64 4.19.1.1-1.fc39             updates 312 k
 rpm-sequoia                   x86_64 1.7.0-1.fc39                updates 904 k
 rpmautospec-rpm-macros        noarch 0.7.3-1.fc39                updates  11 k
 rust-srpm-macros              noarch 26.3-1.fc39                 updates  13 k
 setup                         noarch 2.14.4-1.fc39               fedora  154 k
 sqlite-libs                   x86_64 3.42.0-7.fc39               fedora  678 k
 systemd-libs                  x86_64 254.20-1.fc39               updates 681 k
 util-linux-core               x86_64 2.39.4-1.fc39               updates 507 k
 xxhash-libs                   x86_64 0.8.2-4.fc39                updates  37 k
 xz-libs                       x86_64 5.4.4-1.fc39                fedora  108 k
 zip                           x86_64 3.0-39.fc39                 fedora  266 k
 zlib                          x86_64 1.2.13-4.fc39               fedora   94 k
 zstd                          x86_64 1.5.6-1.fc39                updates 479 k
Installing Groups:
 Buildsystem building group                                                    

Transaction Summary
================================================================================
Install  154 Packages

Total download size: 52 M
Installed size: 180 M
Downloading Packages:
(1/154): basesystem-11-18.fc39.noarch.rpm       100 kB/s | 7.2 kB     00:00    
(2/154): authselect-1.4.3-1.fc39.x86_64.rpm     1.6 MB/s | 149 kB     00:00    
(3/154): bzip2-1.0.8-16.fc39.x86_64.rpm         1.2 MB/s |  52 kB     00:00    
(4/154): bzip2-libs-1.0.8-16.fc39.x86_64.rpm    1.8 MB/s |  41 kB     00:00    
(5/154): cpio-2.14-4.fc39.x86_64.rpm            9.5 MB/s | 279 kB     00:00    
(6/154): cracklib-2.9.11-2.fc39.x86_64.rpm      3.3 MB/s |  94 kB     00:00    
(7/154): cyrus-sasl-lib-2.1.28-11.fc39.x86_64.r  23 MB/s | 793 kB     00:00    
(8/154): diffutils-3.10-3.fc39.x86_64.rpm        11 MB/s | 398 kB     00:00    
(9/154): authselect-libs-1.4.3-1.fc39.x86_64.rp 1.3 MB/s | 249 kB     00:00    
(10/154): dwz-0.15-3.fc39.x86_64.rpm            9.1 MB/s | 134 kB     00:00    
(11/154): ed-1.19-4.fc39.x86_64.rpm             5.6 MB/s |  79 kB     00:00    
(12/154): efi-srpm-macros-5-9.fc39.noarch.rpm   1.6 MB/s |  22 kB     00:00    
(13/154): file-5.44-5.fc39.x86_64.rpm           3.5 MB/s |  49 kB     00:00    
(14/154): file-libs-5.44-5.fc39.x86_64.rpm       34 MB/s | 729 kB     00:00    
(15/154): filesystem-3.18-6.fc39.x86_64.rpm      23 MB/s | 1.1 MB     00:00    
(16/154): fonts-srpm-macros-2.0.5-12.fc39.noarc 743 kB/s |  26 kB     00:00    
(17/154): fpc-srpm-macros-1.3-8.fc39.noarch.rpm 285 kB/s | 7.4 kB     00:00    
(18/154): gawk-5.2.2-2.fc39.x86_64.rpm          2.6 MB/s | 1.1 MB     00:00    
(19/154): gdbm-libs-1.23-4.fc39.x86_64.rpm      133 kB/s |  56 kB     00:00    
(20/154): ghc-srpm-macros-1.6.1-2.fc39.noarch.r  18 kB/s | 7.8 kB     00:00    
(21/154): gnat-srpm-macros-6-3.fc39.noarch.rpm  116 kB/s | 8.8 kB     00:00    
(22/154): gmp-6.2.1-5.fc39.x86_64.rpm           3.4 MB/s | 313 kB     00:00    
(23/154): grep-3.11-3.fc39.x86_64.rpm           2.5 MB/s | 298 kB     00:00    
(24/154): gzip-1.12-6.fc39.x86_64.rpm           2.1 MB/s | 166 kB     00:00    
(25/154): info-7.0.3-3.fc39.x86_64.rpm          1.6 MB/s | 182 kB     00:00    
(26/154): jansson-2.13.1-7.fc39.x86_64.rpm      685 kB/s |  44 kB     00:00    
(27/154): json-c-0.17-1.fc39.x86_64.rpm         849 kB/s |  43 kB     00:00    
(28/154): kernel-srpm-macros-1.0-20.fc39.noarch 793 kB/s |  10 kB     00:00    
(29/154): libattr-2.5.1-8.fc39.x86_64.rpm       1.3 MB/s |  18 kB     00:00    
(30/154): libcap-ng-0.8.3-8.fc39.x86_64.rpm     500 kB/s |  32 kB     00:00    
(31/154): libcom_err-1.47.0-2.fc39.x86_64.rpm   409 kB/s |  26 kB     00:00    
(32/154): libbrotli-1.1.0-1.fc39.x86_64.rpm     5.0 MB/s | 336 kB     00:00    
(33/154): libdb-5.3.28-56.fc39.x86_64.rpm       6.4 MB/s | 760 kB     00:00    
(34/154): libevent-2.1.12-9.fc39.x86_64.rpm     1.8 MB/s | 258 kB     00:00    
(35/154): libffi-3.4.4-4.fc39.x86_64.rpm        259 kB/s |  40 kB     00:00    
(36/154): libnsl2-2.0.0-6.fc39.x86_64.rpm       777 kB/s |  30 kB     00:00    
(37/154): libpkgconf-1.9.5-2.fc39.x86_64.rpm    1.6 MB/s |  38 kB     00:00    
(38/154): libpsl-0.21.2-4.fc39.x86_64.rpm       4.2 MB/s |  63 kB     00:00    
(39/154): libpwquality-1.4.5-6.fc39.x86_64.rpm  4.4 MB/s | 120 kB     00:00    
(40/154): libselinux-3.5-5.fc39.x86_64.rpm      3.0 MB/s |  87 kB     00:00    
(41/154): libsemanage-3.5-4.fc39.x86_64.rpm     3.0 MB/s | 120 kB     00:00    
(42/154): libsepol-3.5-2.fc39.x86_64.rpm        5.0 MB/s | 324 kB     00:00    
(43/154): libsigsegv-2.14-5.fc39.x86_64.rpm     516 kB/s |  27 kB     00:00    
(44/154): libtasn1-4.19.0-3.fc39.x86_64.rpm     1.4 MB/s |  74 kB     00:00    
(45/154): libtool-ltdl-2.4.7-7.fc39.x86_64.rpm  1.6 MB/s |  36 kB     00:00    
(46/154): libunistring-1.1-5.fc39.x86_64.rpm    6.9 MB/s | 543 kB     00:00    
(47/154): libutempter-1.2.1-10.fc39.x86_64.rpm  400 kB/s |  26 kB     00:00    
(48/154): libverto-0.3.2-6.fc39.x86_64.rpm      317 kB/s |  20 kB     00:00    
(49/154): libxcrypt-4.4.36-2.fc39.x86_64.rpm    4.5 MB/s | 119 kB     00:00    
(50/154): libxml2-2.10.4-3.fc39.x86_64.rpm      7.5 MB/s | 701 kB     00:00    
(51/154): lua-libs-5.4.6-3.fc39.x86_64.rpm      1.4 MB/s | 133 kB     00:00    
(52/154): lz4-libs-1.9.4-4.fc39.x86_64.rpm      753 kB/s |  67 kB     00:00    
(53/154): mpfr-4.2.0-3.fc39.x86_64.rpm          5.9 MB/s | 344 kB     00:00    
(54/154): ocaml-srpm-macros-8-2.fc39.noarch.rpm 274 kB/s |  14 kB     00:00    
(55/154): openblas-srpm-macros-2-14.fc39.noarch 203 kB/s | 7.5 kB     00:00    
(56/154): package-notes-srpm-macros-0.5-9.fc39. 859 kB/s |  11 kB     00:00    
(57/154): patch-2.7.6-22.fc39.x86_64.rpm        5.9 MB/s | 125 kB     00:00    
(58/154): pcre2-10.42-1.fc39.2.x86_64.rpm       5.7 MB/s | 233 kB     00:00    
(59/154): pcre2-syntax-10.42-1.fc39.2.noarch.rp 3.2 MB/s | 143 kB     00:00    
(60/154): perl-srpm-macros-1-51.fc39.noarch.rpm 256 kB/s | 8.0 kB     00:00    
(61/154): pkgconf-1.9.5-2.fc39.x86_64.rpm       1.7 MB/s |  42 kB     00:00    
(62/154): pkgconf-m4-1.9.5-2.fc39.noarch.rpm    1.0 MB/s |  14 kB     00:00    
(63/154): pkgconf-pkg-config-1.9.5-2.fc39.x86_6 731 kB/s | 9.6 kB     00:00    
(64/154): popt-1.19-3.fc39.x86_64.rpm           4.8 MB/s |  66 kB     00:00    
(65/154): sed-4.8-14.fc39.x86_64.rpm            7.7 MB/s | 306 kB     00:00    
(66/154): setup-2.14.4-1.fc39.noarch.rpm        2.9 MB/s | 154 kB     00:00    
(67/154): sqlite-libs-3.42.0-7.fc39.x86_64.rpm  6.4 MB/s | 678 kB     00:00    
(68/154): tar-1.35-2.fc39.x86_64.rpm            6.0 MB/s | 864 kB     00:00    
(69/154): unzip-6.0-62.fc39.x86_64.rpm          1.2 MB/s | 184 kB     00:00    
(70/154): which-2.21-40.fc39.x86_64.rpm         472 kB/s |  42 kB     00:00    
(71/154): xz-5.4.4-1.fc39.x86_64.rpm            8.6 MB/s | 556 kB     00:00    
(72/154): xz-libs-5.4.4-1.fc39.x86_64.rpm       2.0 MB/s | 108 kB     00:00    
(73/154): zip-3.0-39.fc39.x86_64.rpm            4.0 MB/s | 266 kB     00:00    
(74/154): zlib-1.2.13-4.fc39.x86_64.rpm         2.5 MB/s |  94 kB     00:00    
(75/154): ansible-srpm-macros-1-12.fc39.noarch.  66 kB/s |  21 kB     00:00    
(76/154): alternatives-1.26-1.fc39.x86_64.rpm   115 kB/s |  39 kB     00:00    
(77/154): audit-libs-3.1.5-1.fc39.x86_64.rpm    256 kB/s | 123 kB     00:00    
(78/154): binutils-gold-2.40-14.fc39.x86_64.rpm 2.9 MB/s | 795 kB     00:00    
(79/154): bash-5.2.26-1.fc39.x86_64.rpm         3.3 MB/s | 1.8 MB     00:00    
(80/154): ca-certificates-2024.2.69_v8.0.401-1. 6.0 MB/s | 871 kB     00:00    
(81/154): binutils-2.40-14.fc39.x86_64.rpm      9.1 MB/s | 5.6 MB     00:00    
(82/154): coreutils-9.3-7.fc39.x86_64.rpm        10 MB/s | 1.1 MB     00:00    
(83/154): crypto-policies-20231204-1.git1e3a2e4 1.1 MB/s | 100 kB     00:00    
(84/154): curl-8.2.1-5.fc39.x86_64.rpm          3.9 MB/s | 344 kB     00:00    
(85/154): coreutils-common-9.3-7.fc39.x86_64.rp  12 MB/s | 2.1 MB     00:00    
(86/154): debugedit-5.0-12.fc39.x86_64.rpm      836 kB/s |  79 kB     00:00    
(87/154): elfutils-0.192-4.fc39.x86_64.rpm      6.3 MB/s | 574 kB     00:00    
(88/154): elfutils-debuginfod-client-0.192-4.fc 493 kB/s |  43 kB     00:00    
(89/154): elfutils-default-yama-scope-0.192-4.f 141 kB/s |  12 kB     00:00    
(90/154): elfutils-libelf-0.192-4.fc39.x86_64.r 2.4 MB/s | 208 kB     00:00    
(91/154): elfutils-libs-0.192-4.fc39.x86_64.rpm 2.9 MB/s | 267 kB     00:00    
(92/154): fedora-gpg-keys-39-2.noarch.rpm       1.4 MB/s | 130 kB     00:00    
(93/154): fedora-release-39-36.noarch.rpm       108 kB/s | 8.6 kB     00:00    
(94/154): fedora-release-common-39-36.noarch.rp 219 kB/s |  19 kB     00:00    
(95/154): fedora-release-identity-basic-39-36.n 108 kB/s | 9.4 kB     00:00    
(96/154): fedora-repos-39-2.noarch.rpm          115 kB/s | 9.3 kB     00:00    
(97/154): findutils-4.9.0-6.fc39.x86_64.rpm     4.1 MB/s | 490 kB     00:00    
(98/154): forge-srpm-macros-0.3.1-1.fc39.noarch 223 kB/s |  19 kB     00:00    
(99/154): glibc-common-2.38-19.fc39.x86_64.rpm  3.3 MB/s | 344 kB     00:00    
(100/154): glibc-2.38-19.fc39.x86_64.rpm         13 MB/s | 2.1 MB     00:00    
(101/154): glibc-minimal-langpack-2.38-19.fc39. 735 kB/s |  65 kB     00:00    
(102/154): glibc-gconv-extra-2.38-19.fc39.x86_6  13 MB/s | 1.6 MB     00:00    
(103/154): gdb-minimal-15.1-1.fc39.x86_64.rpm    13 MB/s | 4.3 MB     00:00    
(104/154): go-srpm-macros-3.5.0-1.fc39.noarch.r 316 kB/s |  28 kB     00:00    
(105/154): keyutils-libs-1.6.3-1.fc39.x86_64.rp 349 kB/s |  31 kB     00:00    
(106/154): krb5-libs-1.21.3-2.fc39.x86_64.rpm   7.4 MB/s | 766 kB     00:00    
(107/154): libacl-2.3.1-9.fc39.x86_64.rpm       260 kB/s |  23 kB     00:00    
(108/154): libblkid-2.39.4-1.fc39.x86_64.rpm    1.4 MB/s | 116 kB     00:00    
(109/154): libarchive-3.7.1-3.fc39.x86_64.rpm   3.4 MB/s | 407 kB     00:00    
(110/154): libcap-2.48-9.fc39.x86_64.rpm        767 kB/s |  68 kB     00:00    
(111/154): libeconf-0.5.2-2.fc39.x86_64.rpm     344 kB/s |  30 kB     00:00    
(112/154): libcurl-8.2.1-5.fc39.x86_64.rpm      3.0 MB/s | 322 kB     00:00    
(113/154): libfdisk-2.39.4-1.fc39.x86_64.rpm    1.8 MB/s | 161 kB     00:00    
(114/154): libgcc-13.3.1-3.fc39.x86_64.rpm      1.3 MB/s | 120 kB     00:00    
(115/154): libgomp-13.3.1-3.fc39.x86_64.rpm     3.6 MB/s | 329 kB     00:00    
(116/154): libidn2-2.3.7-1.fc39.x86_64.rpm      1.3 MB/s | 119 kB     00:00    
(117/154): libmount-2.39.4-1.fc39.x86_64.rpm    1.7 MB/s | 154 kB     00:00    
(118/154): libnghttp2-1.55.1-5.fc39.x86_64.rpm  915 kB/s |  75 kB     00:00    
(119/154): libsmartcols-2.39.4-1.fc39.x86_64.rp 752 kB/s |  67 kB     00:00    
(120/154): libssh-config-0.10.6-2.fc39.noarch.r 111 kB/s | 9.0 kB     00:00    
(121/154): libssh-0.10.6-2.fc39.x86_64.rpm      2.3 MB/s | 212 kB     00:00    
(122/154): libstdc++-13.3.1-3.fc39.x86_64.rpm   7.6 MB/s | 870 kB     00:00    
(123/154): libtirpc-1.3.6-0.fc39.x86_64.rpm     1.1 MB/s |  94 kB     00:00    
(124/154): libuuid-2.39.4-1.fc39.x86_64.rpm     322 kB/s |  28 kB     00:00    
(125/154): lua-srpm-macros-1-13.fc39.noarch.rpm 107 kB/s | 8.7 kB     00:00    
(126/154): ncurses-base-6.4-7.20230520.fc39.1.n 984 kB/s |  88 kB     00:00    
(127/154): libzstd-1.5.6-1.fc39.x86_64.rpm      2.9 MB/s | 312 kB     00:00    
(128/154): ncurses-libs-6.4-7.20230520.fc39.1.x 3.3 MB/s | 336 kB     00:00    
(129/154): openldap-2.6.7-1.fc39.x86_64.rpm     2.7 MB/s | 254 kB     00:00    
(130/154): p11-kit-trust-0.25.5-1.fc39.x86_64.r 1.5 MB/s | 138 kB     00:00    
(131/154): openssl-libs-3.1.4-4.fc39.x86_64.rpm  12 MB/s | 2.2 MB     00:00    
(132/154): p11-kit-0.25.5-1.fc39.x86_64.rpm     4.9 MB/s | 515 kB     00:00    
(133/154): pam-libs-1.5.3-3.fc39.x86_64.rpm     687 kB/s |  56 kB     00:00    
(134/154): pam-1.5.3-3.fc39.x86_64.rpm          3.7 MB/s | 542 kB     00:00    
(135/154): publicsuffix-list-dafsa-20240107-1.f 360 kB/s |  58 kB     00:00    
(136/154): pyproject-srpm-macros-1.16.0-1.fc39. 168 kB/s |  14 kB     00:00    
(137/154): python-srpm-macros-3.12-8.fc39.noarc 253 kB/s |  23 kB     00:00    
(138/154): qt6-srpm-macros-6.6.2-1.fc39.noarch. 109 kB/s | 8.9 kB     00:00    
(139/154): qt5-srpm-macros-5.15.14-2.fc39.noarc 100 kB/s | 8.9 kB     00:00    
(140/154): readline-8.2-6.fc39.x86_64.rpm       2.3 MB/s | 212 kB     00:00    
(141/154): redhat-rpm-config-266-1.fc39.noarch. 941 kB/s |  78 kB     00:00    
(142/154): rpm-4.19.1.1-1.fc39.x86_64.rpm       5.0 MB/s | 538 kB     00:00    
(143/154): rpm-build-libs-4.19.1.1-1.fc39.x86_6 1.1 MB/s |  95 kB     00:00    
(144/154): rpm-build-4.19.1.1-1.fc39.x86_64.rpm 863 kB/s |  78 kB     00:00    
(145/154): rpm-libs-4.19.1.1-1.fc39.x86_64.rpm  3.2 MB/s | 312 kB     00:00    
(146/154): rpmautospec-rpm-macros-0.7.3-1.fc39. 120 kB/s |  11 kB     00:00    
(147/154): rpm-sequoia-1.7.0-1.fc39.x86_64.rpm  9.0 MB/s | 904 kB     00:00    
(148/154): rust-srpm-macros-26.3-1.fc39.noarch. 141 kB/s |  13 kB     00:00    
(149/154): systemd-libs-254.20-1.fc39.x86_64.rp 6.2 MB/s | 681 kB     00:00    
(150/154): shadow-utils-4.14.0-2.fc39.x86_64.rp  11 MB/s | 1.3 MB     00:00    
(151/154): util-linux-2.39.4-1.fc39.x86_64.rpm  8.7 MB/s | 1.2 MB     00:00    
(152/154): xxhash-libs-0.8.2-4.fc39.x86_64.rpm  406 kB/s |  37 kB     00:00    
(153/154): util-linux-core-2.39.4-1.fc39.x86_64 5.1 MB/s | 507 kB     00:00    
(154/154): zstd-1.5.6-1.fc39.x86_64.rpm         4.9 MB/s | 479 kB     00:00    
--------------------------------------------------------------------------------
Total                                           9.9 MB/s |  52 MB     00:05     
fedora                                          1.6 MB/s | 1.6 kB     00:00    
Importing GPG key 0x18B8E74C:
 Userid     : "Fedora (39) <fedora-39-primary@fedoraproject.org>"
 Fingerprint: E8F2 3996 F232 1864 0CB4 4CBE 75CF 5AC4 18B8 E74C
 From       : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-39-primary
Key imported successfully
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Running scriptlet: filesystem-3.18-6.fc39.x86_64                          1/1 
  Preparing        :                                                        1/1 
  Installing       : libgcc-13.3.1-3.fc39.x86_64                          1/154 
  Running scriptlet: libgcc-13.3.1-3.fc39.x86_64                          1/154 
  Installing       : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc     2/154 
  Running scriptlet: crypto-policies-20231204-1.git1e3a2e4.fc39.noarc     2/154 
  Installing       : fedora-release-identity-basic-39-36.noarch           3/154 
  Installing       : fedora-gpg-keys-39-2.noarch                          4/154 
  Installing       : fedora-repos-39-2.noarch                             5/154 
  Installing       : fedora-release-common-39-36.noarch                   6/154 
  Installing       : fedora-release-39-36.noarch                          7/154 
  Installing       : setup-2.14.4-1.fc39.noarch                           8/154 
warning: /etc/hosts created as /etc/hosts.rpmnew

  Running scriptlet: setup-2.14.4-1.fc39.noarch                           8/154 
  Installing       : filesystem-3.18-6.fc39.x86_64                        9/154 
  Installing       : basesystem-11-18.fc39.noarch                        10/154 
  Installing       : rust-srpm-macros-26.3-1.fc39.noarch                 11/154 
  Installing       : qt6-srpm-macros-6.6.2-1.fc39.noarch                 12/154 
  Installing       : qt5-srpm-macros-5.15.14-2.fc39.noarch               13/154 
  Installing       : publicsuffix-list-dafsa-20240107-1.fc39.noarch      14/154 
  Installing       : ncurses-base-6.4-7.20230520.fc39.1.noarch           15/154 
  Installing       : glibc-gconv-extra-2.38-19.fc39.x86_64               16/154 
  Running scriptlet: glibc-gconv-extra-2.38-19.fc39.x86_64               16/154 
  Installing       : glibc-minimal-langpack-2.38-19.fc39.x86_64          17/154 
  Installing       : glibc-common-2.38-19.fc39.x86_64                    18/154 
  Running scriptlet: glibc-2.38-19.fc39.x86_64                           19/154 
  Installing       : glibc-2.38-19.fc39.x86_64                           19/154 
  Running scriptlet: glibc-2.38-19.fc39.x86_64                           19/154 
  Installing       : ncurses-libs-6.4-7.20230520.fc39.1.x86_64           20/154 
  Installing       : bash-5.2.26-1.fc39.x86_64                           21/154 
  Running scriptlet: bash-5.2.26-1.fc39.x86_64                           21/154 
  Installing       : zlib-1.2.13-4.fc39.x86_64                           22/154 
  Installing       : xz-libs-5.4.4-1.fc39.x86_64                         23/154 
  Installing       : bzip2-libs-1.0.8-16.fc39.x86_64                     24/154 
  Installing       : popt-1.19-3.fc39.x86_64                             25/154 
  Installing       : libstdc++-13.3.1-3.fc39.x86_64                      26/154 
  Installing       : libuuid-2.39.4-1.fc39.x86_64                        27/154 
  Installing       : libzstd-1.5.6-1.fc39.x86_64                         28/154 
  Installing       : elfutils-libelf-0.192-4.fc39.x86_64                 29/154 
  Installing       : libblkid-2.39.4-1.fc39.x86_64                       30/154 
  Installing       : readline-8.2-6.fc39.x86_64                          31/154 
  Installing       : gmp-1:6.2.1-5.fc39.x86_64                           32/154 
  Installing       : libattr-2.5.1-8.fc39.x86_64                         33/154 
  Installing       : libacl-2.3.1-9.fc39.x86_64                          34/154 
  Installing       : libxcrypt-4.4.36-2.fc39.x86_64                      35/154 
  Installing       : libcap-2.48-9.fc39.x86_64                           36/154 
  Installing       : lz4-libs-1.9.4-4.fc39.x86_64                        37/154 
  Installing       : libeconf-0.5.2-2.fc39.x86_64                        38/154 
  Installing       : systemd-libs-254.20-1.fc39.x86_64                   39/154 
  Installing       : mpfr-4.2.0-3.fc39.x86_64                            40/154 
  Installing       : dwz-0.15-3.fc39.x86_64                              41/154 
  Installing       : unzip-6.0-62.fc39.x86_64                            42/154 
  Installing       : file-libs-5.44-5.fc39.x86_64                        43/154 
  Installing       : file-5.44-5.fc39.x86_64                             44/154 
  Installing       : jansson-2.13.1-7.fc39.x86_64                        45/154 
  Installing       : libcap-ng-0.8.3-8.fc39.x86_64                       46/154 
  Installing       : audit-libs-3.1.5-1.fc39.x86_64                      47/154 
  Installing       : pam-libs-1.5.3-3.fc39.x86_64                        48/154 
  Installing       : libcom_err-1.47.0-2.fc39.x86_64                     49/154 
  Installing       : libsepol-3.5-2.fc39.x86_64                          50/154 
  Installing       : libtasn1-4.19.0-3.fc39.x86_64                       51/154 
  Installing       : libunistring-1.1-5.fc39.x86_64                      52/154 
  Installing       : libidn2-2.3.7-1.fc39.x86_64                         53/154 
  Installing       : lua-libs-5.4.6-3.fc39.x86_64                        54/154 
  Installing       : alternatives-1.26-1.fc39.x86_64                     55/154 
  Installing       : libsmartcols-2.39.4-1.fc39.x86_64                   56/154 
  Installing       : libpsl-0.21.2-4.fc39.x86_64                         57/154 
  Installing       : zip-3.0-39.fc39.x86_64                              58/154 
  Installing       : zstd-1.5.6-1.fc39.x86_64                            59/154 
  Installing       : libfdisk-2.39.4-1.fc39.x86_64                       60/154 
  Installing       : bzip2-1.0.8-16.fc39.x86_64                          61/154 
  Installing       : libxml2-2.10.4-3.fc39.x86_64                        62/154 
  Installing       : sqlite-libs-3.42.0-7.fc39.x86_64                    63/154 
  Installing       : ed-1.19-4.fc39.x86_64                               64/154 
  Installing       : elfutils-default-yama-scope-0.192-4.fc39.noarch     65/154 
  Running scriptlet: elfutils-default-yama-scope-0.192-4.fc39.noarch     65/154 
  Installing       : cpio-2.14-4.fc39.x86_64                             66/154 
  Installing       : diffutils-3.10-3.fc39.x86_64                        67/154 
  Installing       : gdbm-libs-1:1.23-4.fc39.x86_64                      68/154 
  Installing       : cyrus-sasl-lib-2.1.28-11.fc39.x86_64                69/154 
  Installing       : json-c-0.17-1.fc39.x86_64                           70/154 
  Installing       : libbrotli-1.1.0-1.fc39.x86_64                       71/154 
  Installing       : libdb-5.3.28-56.fc39.x86_64                         72/154 
  Installing       : libffi-3.4.4-4.fc39.x86_64                          73/154 
  Installing       : p11-kit-0.25.5-1.fc39.x86_64                        74/154 
  Installing       : p11-kit-trust-0.25.5-1.fc39.x86_64                  75/154 
  Running scriptlet: p11-kit-trust-0.25.5-1.fc39.x86_64                  75/154 
  Installing       : libpkgconf-1.9.5-2.fc39.x86_64                      76/154 
  Installing       : pkgconf-1.9.5-2.fc39.x86_64                         77/154 
  Installing       : libsigsegv-2.14-5.fc39.x86_64                       78/154 
  Installing       : gawk-5.2.2-2.fc39.x86_64                            79/154 
  Installing       : libtool-ltdl-2.4.7-7.fc39.x86_64                    80/154 
  Installing       : libverto-0.3.2-6.fc39.x86_64                        81/154 
  Installing       : keyutils-libs-1.6.3-1.fc39.x86_64                   82/154 
  Installing       : libgomp-13.3.1-3.fc39.x86_64                        83/154 
  Installing       : libnghttp2-1.55.1-5.fc39.x86_64                     84/154 
  Installing       : xxhash-libs-0.8.2-4.fc39.x86_64                     85/154 
  Installing       : libssh-config-0.10.6-2.fc39.noarch                  86/154 
  Installing       : coreutils-common-9.3-7.fc39.x86_64                  87/154 
  Installing       : ansible-srpm-macros-1-12.fc39.noarch                88/154 
  Installing       : pkgconf-m4-1.9.5-2.fc39.noarch                      89/154 
  Installing       : pkgconf-pkg-config-1.9.5-2.fc39.x86_64              90/154 
  Installing       : perl-srpm-macros-1-51.fc39.noarch                   91/154 
  Installing       : pcre2-syntax-10.42-1.fc39.2.noarch                  92/154 
  Installing       : pcre2-10.42-1.fc39.2.x86_64                         93/154 
  Installing       : libselinux-3.5-5.fc39.x86_64                        94/154 
  Installing       : sed-4.8-14.fc39.x86_64                              95/154 
  Installing       : grep-3.11-3.fc39.x86_64                             96/154 
  Installing       : findutils-1:4.9.0-6.fc39.x86_64                     97/154 
  Installing       : xz-5.4.4-1.fc39.x86_64                              98/154 
  Installing       : libmount-2.39.4-1.fc39.x86_64                       99/154 
  Installing       : util-linux-core-2.39.4-1.fc39.x86_64               100/154 
  Installing       : openssl-libs-1:3.1.4-4.fc39.x86_64                 101/154 
  Installing       : coreutils-9.3-7.fc39.x86_64                        102/154 
  Running scriptlet: ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noar   103/154 
  Installing       : ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noar   103/154 
  Running scriptlet: ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noar   103/154 
  Installing       : krb5-libs-1.21.3-2.fc39.x86_64                     104/154 
  Installing       : libtirpc-1.3.6-0.fc39.x86_64                       105/154 
  Running scriptlet: authselect-libs-1.4.3-1.fc39.x86_64                106/154 
  Installing       : authselect-libs-1.4.3-1.fc39.x86_64                106/154 
  Installing       : gzip-1.12-6.fc39.x86_64                            107/154 
  Installing       : libarchive-3.7.1-3.fc39.x86_64                     108/154 
  Installing       : cracklib-2.9.11-2.fc39.x86_64                      109/154 
  Installing       : libpwquality-1.4.5-6.fc39.x86_64                   110/154 
  Installing       : authselect-1.4.3-1.fc39.x86_64                     111/154 
  Installing       : libnsl2-2.0.0-6.fc39.x86_64                        112/154 
  Installing       : pam-1.5.3-3.fc39.x86_64                            113/154 
  Installing       : libssh-0.10.6-2.fc39.x86_64                        114/154 
  Installing       : libevent-2.1.12-9.fc39.x86_64                      115/154 
  Installing       : openldap-2.6.7-1.fc39.x86_64                       116/154 
  Installing       : libcurl-8.2.1-5.fc39.x86_64                        117/154 
  Installing       : elfutils-libs-0.192-4.fc39.x86_64                  118/154 
  Installing       : elfutils-debuginfod-client-0.192-4.fc39.x86_64     119/154 
  Installing       : binutils-gold-2.40-14.fc39.x86_64                  120/154 
  Running scriptlet: binutils-gold-2.40-14.fc39.x86_64                  120/154 
  Installing       : binutils-2.40-14.fc39.x86_64                       121/154 
  Running scriptlet: binutils-2.40-14.fc39.x86_64                       121/154 
  Installing       : elfutils-0.192-4.fc39.x86_64                       122/154 
  Installing       : gdb-minimal-15.1-1.fc39.x86_64                     123/154 
  Installing       : debugedit-5.0-12.fc39.x86_64                       124/154 
  Installing       : curl-8.2.1-5.fc39.x86_64                           125/154 
  Installing       : rpm-sequoia-1.7.0-1.fc39.x86_64                    126/154 
  Installing       : rpm-libs-4.19.1.1-1.fc39.x86_64                    127/154 
  Running scriptlet: rpm-4.19.1.1-1.fc39.x86_64                         128/154 
  Installing       : rpm-4.19.1.1-1.fc39.x86_64                         128/154 
  Installing       : efi-srpm-macros-5-9.fc39.noarch                    129/154 
  Installing       : lua-srpm-macros-1-13.fc39.noarch                   130/154 
  Installing       : rpmautospec-rpm-macros-0.7.3-1.fc39.noarch         131/154 
  Installing       : rpm-build-libs-4.19.1.1-1.fc39.x86_64              132/154 
  Installing       : libsemanage-3.5-4.fc39.x86_64                      133/154 
  Installing       : shadow-utils-2:4.14.0-2.fc39.x86_64                134/154 
  Running scriptlet: libutempter-1.2.1-10.fc39.x86_64                   135/154 
  Installing       : libutempter-1.2.1-10.fc39.x86_64                   135/154 
  Installing       : patch-2.7.6-22.fc39.x86_64                         136/154 
  Installing       : tar-2:1.35-2.fc39.x86_64                           137/154 
  Installing       : package-notes-srpm-macros-0.5-9.fc39.noarch        138/154 
  Installing       : openblas-srpm-macros-2-14.fc39.noarch              139/154 
  Installing       : ocaml-srpm-macros-8-2.fc39.noarch                  140/154 
  Installing       : kernel-srpm-macros-1.0-20.fc39.noarch              141/154 
  Installing       : gnat-srpm-macros-6-3.fc39.noarch                   142/154 
  Installing       : ghc-srpm-macros-1.6.1-2.fc39.noarch                143/154 
  Installing       : fpc-srpm-macros-1.3-8.fc39.noarch                  144/154 
  Installing       : fonts-srpm-macros-1:2.0.5-12.fc39.noarch           145/154 
  Installing       : forge-srpm-macros-0.3.1-1.fc39.noarch              146/154 
  Installing       : go-srpm-macros-3.5.0-1.fc39.noarch                 147/154 
  Installing       : python-srpm-macros-3.12-8.fc39.noarch              148/154 
  Installing       : redhat-rpm-config-266-1.fc39.noarch                149/154 
  Installing       : rpm-build-4.19.1.1-1.fc39.x86_64                   150/154 
  Installing       : pyproject-srpm-macros-1.16.0-1.fc39.noarch         151/154 
  Installing       : util-linux-2.39.4-1.fc39.x86_64                    152/154 
  Running scriptlet: util-linux-2.39.4-1.fc39.x86_64                    152/154 
  Installing       : which-2.21-40.fc39.x86_64                          153/154 
  Installing       : info-7.0.3-3.fc39.x86_64                           154/154 
  Running scriptlet: filesystem-3.18-6.fc39.x86_64                      154/154 
  Running scriptlet: ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noar   154/154 
  Running scriptlet: authselect-libs-1.4.3-1.fc39.x86_64                154/154 
  Running scriptlet: rpm-4.19.1.1-1.fc39.x86_64                         154/154 
  Running scriptlet: info-7.0.3-3.fc39.x86_64                           154/154 
  Verifying        : authselect-1.4.3-1.fc39.x86_64                       1/154 
  Verifying        : authselect-libs-1.4.3-1.fc39.x86_64                  2/154 
  Verifying        : basesystem-11-18.fc39.noarch                         3/154 
  Verifying        : bzip2-1.0.8-16.fc39.x86_64                           4/154 
  Verifying        : bzip2-libs-1.0.8-16.fc39.x86_64                      5/154 
  Verifying        : cpio-2.14-4.fc39.x86_64                              6/154 
  Verifying        : cracklib-2.9.11-2.fc39.x86_64                        7/154 
  Verifying        : cyrus-sasl-lib-2.1.28-11.fc39.x86_64                 8/154 
  Verifying        : diffutils-3.10-3.fc39.x86_64                         9/154 
  Verifying        : dwz-0.15-3.fc39.x86_64                              10/154 
  Verifying        : ed-1.19-4.fc39.x86_64                               11/154 
  Verifying        : efi-srpm-macros-5-9.fc39.noarch                     12/154 
  Verifying        : file-5.44-5.fc39.x86_64                             13/154 
  Verifying        : file-libs-5.44-5.fc39.x86_64                        14/154 
  Verifying        : filesystem-3.18-6.fc39.x86_64                       15/154 
  Verifying        : fonts-srpm-macros-1:2.0.5-12.fc39.noarch            16/154 
  Verifying        : fpc-srpm-macros-1.3-8.fc39.noarch                   17/154 
  Verifying        : gawk-5.2.2-2.fc39.x86_64                            18/154 
  Verifying        : gdbm-libs-1:1.23-4.fc39.x86_64                      19/154 
  Verifying        : ghc-srpm-macros-1.6.1-2.fc39.noarch                 20/154 
  Verifying        : gmp-1:6.2.1-5.fc39.x86_64                           21/154 
  Verifying        : gnat-srpm-macros-6-3.fc39.noarch                    22/154 
  Verifying        : grep-3.11-3.fc39.x86_64                             23/154 
  Verifying        : gzip-1.12-6.fc39.x86_64                             24/154 
  Verifying        : info-7.0.3-3.fc39.x86_64                            25/154 
  Verifying        : jansson-2.13.1-7.fc39.x86_64                        26/154 
  Verifying        : json-c-0.17-1.fc39.x86_64                           27/154 
  Verifying        : kernel-srpm-macros-1.0-20.fc39.noarch               28/154 
  Verifying        : libattr-2.5.1-8.fc39.x86_64                         29/154 
  Verifying        : libbrotli-1.1.0-1.fc39.x86_64                       30/154 
  Verifying        : libcap-ng-0.8.3-8.fc39.x86_64                       31/154 
  Verifying        : libcom_err-1.47.0-2.fc39.x86_64                     32/154 
  Verifying        : libdb-5.3.28-56.fc39.x86_64                         33/154 
  Verifying        : libevent-2.1.12-9.fc39.x86_64                       34/154 
  Verifying        : libffi-3.4.4-4.fc39.x86_64                          35/154 
  Verifying        : libnsl2-2.0.0-6.fc39.x86_64                         36/154 
  Verifying        : libpkgconf-1.9.5-2.fc39.x86_64                      37/154 
  Verifying        : libpsl-0.21.2-4.fc39.x86_64                         38/154 
  Verifying        : libpwquality-1.4.5-6.fc39.x86_64                    39/154 
  Verifying        : libselinux-3.5-5.fc39.x86_64                        40/154 
  Verifying        : libsemanage-3.5-4.fc39.x86_64                       41/154 
  Verifying        : libsepol-3.5-2.fc39.x86_64                          42/154 
  Verifying        : libsigsegv-2.14-5.fc39.x86_64                       43/154 
  Verifying        : libtasn1-4.19.0-3.fc39.x86_64                       44/154 
  Verifying        : libtool-ltdl-2.4.7-7.fc39.x86_64                    45/154 
  Verifying        : libunistring-1.1-5.fc39.x86_64                      46/154 
  Verifying        : libutempter-1.2.1-10.fc39.x86_64                    47/154 
  Verifying        : libverto-0.3.2-6.fc39.x86_64                        48/154 
  Verifying        : libxcrypt-4.4.36-2.fc39.x86_64                      49/154 
  Verifying        : libxml2-2.10.4-3.fc39.x86_64                        50/154 
  Verifying        : lua-libs-5.4.6-3.fc39.x86_64                        51/154 
  Verifying        : lz4-libs-1.9.4-4.fc39.x86_64                        52/154 
  Verifying        : mpfr-4.2.0-3.fc39.x86_64                            53/154 
  Verifying        : ocaml-srpm-macros-8-2.fc39.noarch                   54/154 
  Verifying        : openblas-srpm-macros-2-14.fc39.noarch               55/154 
  Verifying        : package-notes-srpm-macros-0.5-9.fc39.noarch         56/154 
  Verifying        : patch-2.7.6-22.fc39.x86_64                          57/154 
  Verifying        : pcre2-10.42-1.fc39.2.x86_64                         58/154 
  Verifying        : pcre2-syntax-10.42-1.fc39.2.noarch                  59/154 
  Verifying        : perl-srpm-macros-1-51.fc39.noarch                   60/154 
  Verifying        : pkgconf-1.9.5-2.fc39.x86_64                         61/154 
  Verifying        : pkgconf-m4-1.9.5-2.fc39.noarch                      62/154 
  Verifying        : pkgconf-pkg-config-1.9.5-2.fc39.x86_64              63/154 
  Verifying        : popt-1.19-3.fc39.x86_64                             64/154 
  Verifying        : sed-4.8-14.fc39.x86_64                              65/154 
  Verifying        : setup-2.14.4-1.fc39.noarch                          66/154 
  Verifying        : sqlite-libs-3.42.0-7.fc39.x86_64                    67/154 
  Verifying        : tar-2:1.35-2.fc39.x86_64                            68/154 
  Verifying        : unzip-6.0-62.fc39.x86_64                            69/154 
  Verifying        : which-2.21-40.fc39.x86_64                           70/154 
  Verifying        : xz-5.4.4-1.fc39.x86_64                              71/154 
  Verifying        : xz-libs-5.4.4-1.fc39.x86_64                         72/154 
  Verifying        : zip-3.0-39.fc39.x86_64                              73/154 
  Verifying        : zlib-1.2.13-4.fc39.x86_64                           74/154 
  Verifying        : alternatives-1.26-1.fc39.x86_64                     75/154 
  Verifying        : ansible-srpm-macros-1-12.fc39.noarch                76/154 
  Verifying        : audit-libs-3.1.5-1.fc39.x86_64                      77/154 
  Verifying        : bash-5.2.26-1.fc39.x86_64                           78/154 
  Verifying        : binutils-2.40-14.fc39.x86_64                        79/154 
  Verifying        : binutils-gold-2.40-14.fc39.x86_64                   80/154 
  Verifying        : ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noar    81/154 
  Verifying        : coreutils-9.3-7.fc39.x86_64                         82/154 
  Verifying        : coreutils-common-9.3-7.fc39.x86_64                  83/154 
  Verifying        : crypto-policies-20231204-1.git1e3a2e4.fc39.noarc    84/154 
  Verifying        : curl-8.2.1-5.fc39.x86_64                            85/154 
  Verifying        : debugedit-5.0-12.fc39.x86_64                        86/154 
  Verifying        : elfutils-0.192-4.fc39.x86_64                        87/154 
  Verifying        : elfutils-debuginfod-client-0.192-4.fc39.x86_64      88/154 
  Verifying        : elfutils-default-yama-scope-0.192-4.fc39.noarch     89/154 
  Verifying        : elfutils-libelf-0.192-4.fc39.x86_64                 90/154 
  Verifying        : elfutils-libs-0.192-4.fc39.x86_64                   91/154 
  Verifying        : fedora-gpg-keys-39-2.noarch                         92/154 
  Verifying        : fedora-release-39-36.noarch                         93/154 
  Verifying        : fedora-release-common-39-36.noarch                  94/154 
  Verifying        : fedora-release-identity-basic-39-36.noarch          95/154 
  Verifying        : fedora-repos-39-2.noarch                            96/154 
  Verifying        : findutils-1:4.9.0-6.fc39.x86_64                     97/154 
  Verifying        : forge-srpm-macros-0.3.1-1.fc39.noarch               98/154 
  Verifying        : gdb-minimal-15.1-1.fc39.x86_64                      99/154 
  Verifying        : glibc-2.38-19.fc39.x86_64                          100/154 
  Verifying        : glibc-common-2.38-19.fc39.x86_64                   101/154 
  Verifying        : glibc-gconv-extra-2.38-19.fc39.x86_64              102/154 
  Verifying        : glibc-minimal-langpack-2.38-19.fc39.x86_64         103/154 
  Verifying        : go-srpm-macros-3.5.0-1.fc39.noarch                 104/154 
  Verifying        : keyutils-libs-1.6.3-1.fc39.x86_64                  105/154 
  Verifying        : krb5-libs-1.21.3-2.fc39.x86_64                     106/154 
  Verifying        : libacl-2.3.1-9.fc39.x86_64                         107/154 
  Verifying        : libarchive-3.7.1-3.fc39.x86_64                     108/154 
  Verifying        : libblkid-2.39.4-1.fc39.x86_64                      109/154 
  Verifying        : libcap-2.48-9.fc39.x86_64                          110/154 
  Verifying        : libcurl-8.2.1-5.fc39.x86_64                        111/154 
  Verifying        : libeconf-0.5.2-2.fc39.x86_64                       112/154 
  Verifying        : libfdisk-2.39.4-1.fc39.x86_64                      113/154 
  Verifying        : libgcc-13.3.1-3.fc39.x86_64                        114/154 
  Verifying        : libgomp-13.3.1-3.fc39.x86_64                       115/154 
  Verifying        : libidn2-2.3.7-1.fc39.x86_64                        116/154 
  Verifying        : libmount-2.39.4-1.fc39.x86_64                      117/154 
  Verifying        : libnghttp2-1.55.1-5.fc39.x86_64                    118/154 
  Verifying        : libsmartcols-2.39.4-1.fc39.x86_64                  119/154 
  Verifying        : libssh-0.10.6-2.fc39.x86_64                        120/154 
  Verifying        : libssh-config-0.10.6-2.fc39.noarch                 121/154 
  Verifying        : libstdc++-13.3.1-3.fc39.x86_64                     122/154 
  Verifying        : libtirpc-1.3.6-0.fc39.x86_64                       123/154 
  Verifying        : libuuid-2.39.4-1.fc39.x86_64                       124/154 
  Verifying        : libzstd-1.5.6-1.fc39.x86_64                        125/154 
  Verifying        : lua-srpm-macros-1-13.fc39.noarch                   126/154 
  Verifying        : ncurses-base-6.4-7.20230520.fc39.1.noarch          127/154 
  Verifying        : ncurses-libs-6.4-7.20230520.fc39.1.x86_64          128/154 
  Verifying        : openldap-2.6.7-1.fc39.x86_64                       129/154 
  Verifying        : openssl-libs-1:3.1.4-4.fc39.x86_64                 130/154 
  Verifying        : p11-kit-0.25.5-1.fc39.x86_64                       131/154 
  Verifying        : p11-kit-trust-0.25.5-1.fc39.x86_64                 132/154 
  Verifying        : pam-1.5.3-3.fc39.x86_64                            133/154 
  Verifying        : pam-libs-1.5.3-3.fc39.x86_64                       134/154 
  Verifying        : publicsuffix-list-dafsa-20240107-1.fc39.noarch     135/154 
  Verifying        : pyproject-srpm-macros-1.16.0-1.fc39.noarch         136/154 
  Verifying        : python-srpm-macros-3.12-8.fc39.noarch              137/154 
  Verifying        : qt5-srpm-macros-5.15.14-2.fc39.noarch              138/154 
  Verifying        : qt6-srpm-macros-6.6.2-1.fc39.noarch                139/154 
  Verifying        : readline-8.2-6.fc39.x86_64                         140/154 
  Verifying        : redhat-rpm-config-266-1.fc39.noarch                141/154 
  Verifying        : rpm-4.19.1.1-1.fc39.x86_64                         142/154 
  Verifying        : rpm-build-4.19.1.1-1.fc39.x86_64                   143/154 
  Verifying        : rpm-build-libs-4.19.1.1-1.fc39.x86_64              144/154 
  Verifying        : rpm-libs-4.19.1.1-1.fc39.x86_64                    145/154 
  Verifying        : rpm-sequoia-1.7.0-1.fc39.x86_64                    146/154 
  Verifying        : rpmautospec-rpm-macros-0.7.3-1.fc39.noarch         147/154 
  Verifying        : rust-srpm-macros-26.3-1.fc39.noarch                148/154 
  Verifying        : shadow-utils-2:4.14.0-2.fc39.x86_64                149/154 
  Verifying        : systemd-libs-254.20-1.fc39.x86_64                  150/154 
  Verifying        : util-linux-2.39.4-1.fc39.x86_64                    151/154 
  Verifying        : util-linux-core-2.39.4-1.fc39.x86_64               152/154 
  Verifying        : xxhash-libs-0.8.2-4.fc39.x86_64                    153/154 
  Verifying        : zstd-1.5.6-1.fc39.x86_64                           154/154 

Installed:
  alternatives-1.26-1.fc39.x86_64                                               
  ansible-srpm-macros-1-12.fc39.noarch                                          
  audit-libs-3.1.5-1.fc39.x86_64                                                
  authselect-1.4.3-1.fc39.x86_64                                                
  authselect-libs-1.4.3-1.fc39.x86_64                                           
  basesystem-11-18.fc39.noarch                                                  
  bash-5.2.26-1.fc39.x86_64                                                     
  binutils-2.40-14.fc39.x86_64                                                  
  binutils-gold-2.40-14.fc39.x86_64                                             
  bzip2-1.0.8-16.fc39.x86_64                                                    
  bzip2-libs-1.0.8-16.fc39.x86_64                                               
  ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noarch                            
  coreutils-9.3-7.fc39.x86_64                                                   
  coreutils-common-9.3-7.fc39.x86_64                                            
  cpio-2.14-4.fc39.x86_64                                                       
  cracklib-2.9.11-2.fc39.x86_64                                                 
  crypto-policies-20231204-1.git1e3a2e4.fc39.noarch                             
  curl-8.2.1-5.fc39.x86_64                                                      
  cyrus-sasl-lib-2.1.28-11.fc39.x86_64                                          
  debugedit-5.0-12.fc39.x86_64                                                  
  diffutils-3.10-3.fc39.x86_64                                                  
  dwz-0.15-3.fc39.x86_64                                                        
  ed-1.19-4.fc39.x86_64                                                         
  efi-srpm-macros-5-9.fc39.noarch                                               
  elfutils-0.192-4.fc39.x86_64                                                  
  elfutils-debuginfod-client-0.192-4.fc39.x86_64                                
  elfutils-default-yama-scope-0.192-4.fc39.noarch                               
  elfutils-libelf-0.192-4.fc39.x86_64                                           
  elfutils-libs-0.192-4.fc39.x86_64                                             
  fedora-gpg-keys-39-2.noarch                                                   
  fedora-release-39-36.noarch                                                   
  fedora-release-common-39-36.noarch                                            
  fedora-release-identity-basic-39-36.noarch                                    
  fedora-repos-39-2.noarch                                                      
  file-5.44-5.fc39.x86_64                                                       
  file-libs-5.44-5.fc39.x86_64                                                  
  filesystem-3.18-6.fc39.x86_64                                                 
  findutils-1:4.9.0-6.fc39.x86_64                                               
  fonts-srpm-macros-1:2.0.5-12.fc39.noarch                                      
  forge-srpm-macros-0.3.1-1.fc39.noarch                                         
  fpc-srpm-macros-1.3-8.fc39.noarch                                             
  gawk-5.2.2-2.fc39.x86_64                                                      
  gdb-minimal-15.1-1.fc39.x86_64                                                
  gdbm-libs-1:1.23-4.fc39.x86_64                                                
  ghc-srpm-macros-1.6.1-2.fc39.noarch                                           
  glibc-2.38-19.fc39.x86_64                                                     
  glibc-common-2.38-19.fc39.x86_64                                              
  glibc-gconv-extra-2.38-19.fc39.x86_64                                         
  glibc-minimal-langpack-2.38-19.fc39.x86_64                                    
  gmp-1:6.2.1-5.fc39.x86_64                                                     
  gnat-srpm-macros-6-3.fc39.noarch                                              
  go-srpm-macros-3.5.0-1.fc39.noarch                                            
  grep-3.11-3.fc39.x86_64                                                       
  gzip-1.12-6.fc39.x86_64                                                       
  info-7.0.3-3.fc39.x86_64                                                      
  jansson-2.13.1-7.fc39.x86_64                                                  
  json-c-0.17-1.fc39.x86_64                                                     
  kernel-srpm-macros-1.0-20.fc39.noarch                                         
  keyutils-libs-1.6.3-1.fc39.x86_64                                             
  krb5-libs-1.21.3-2.fc39.x86_64                                                
  libacl-2.3.1-9.fc39.x86_64                                                    
  libarchive-3.7.1-3.fc39.x86_64                                                
  libattr-2.5.1-8.fc39.x86_64                                                   
  libblkid-2.39.4-1.fc39.x86_64                                                 
  libbrotli-1.1.0-1.fc39.x86_64                                                 
  libcap-2.48-9.fc39.x86_64                                                     
  libcap-ng-0.8.3-8.fc39.x86_64                                                 
  libcom_err-1.47.0-2.fc39.x86_64                                               
  libcurl-8.2.1-5.fc39.x86_64                                                   
  libdb-5.3.28-56.fc39.x86_64                                                   
  libeconf-0.5.2-2.fc39.x86_64                                                  
  libevent-2.1.12-9.fc39.x86_64                                                 
  libfdisk-2.39.4-1.fc39.x86_64                                                 
  libffi-3.4.4-4.fc39.x86_64                                                    
  libgcc-13.3.1-3.fc39.x86_64                                                   
  libgomp-13.3.1-3.fc39.x86_64                                                  
  libidn2-2.3.7-1.fc39.x86_64                                                   
  libmount-2.39.4-1.fc39.x86_64                                                 
  libnghttp2-1.55.1-5.fc39.x86_64                                               
  libnsl2-2.0.0-6.fc39.x86_64                                                   
  libpkgconf-1.9.5-2.fc39.x86_64                                                
  libpsl-0.21.2-4.fc39.x86_64                                                   
  libpwquality-1.4.5-6.fc39.x86_64                                              
  libselinux-3.5-5.fc39.x86_64                                                  
  libsemanage-3.5-4.fc39.x86_64                                                 
  libsepol-3.5-2.fc39.x86_64                                                    
  libsigsegv-2.14-5.fc39.x86_64                                                 
  libsmartcols-2.39.4-1.fc39.x86_64                                             
  libssh-0.10.6-2.fc39.x86_64                                                   
  libssh-config-0.10.6-2.fc39.noarch                                            
  libstdc++-13.3.1-3.fc39.x86_64                                                
  libtasn1-4.19.0-3.fc39.x86_64                                                 
  libtirpc-1.3.6-0.fc39.x86_64                                                  
  libtool-ltdl-2.4.7-7.fc39.x86_64                                              
  libunistring-1.1-5.fc39.x86_64                                                
  libutempter-1.2.1-10.fc39.x86_64                                              
  libuuid-2.39.4-1.fc39.x86_64                                                  
  libverto-0.3.2-6.fc39.x86_64                                                  
  libxcrypt-4.4.36-2.fc39.x86_64                                                
  libxml2-2.10.4-3.fc39.x86_64                                                  
  libzstd-1.5.6-1.fc39.x86_64                                                   
  lua-libs-5.4.6-3.fc39.x86_64                                                  
  lua-srpm-macros-1-13.fc39.noarch                                              
  lz4-libs-1.9.4-4.fc39.x86_64                                                  
  mpfr-4.2.0-3.fc39.x86_64                                                      
  ncurses-base-6.4-7.20230520.fc39.1.noarch                                     
  ncurses-libs-6.4-7.20230520.fc39.1.x86_64                                     
  ocaml-srpm-macros-8-2.fc39.noarch                                             
  openblas-srpm-macros-2-14.fc39.noarch                                         
  openldap-2.6.7-1.fc39.x86_64                                                  
  openssl-libs-1:3.1.4-4.fc39.x86_64                                            
  p11-kit-0.25.5-1.fc39.x86_64                                                  
  p11-kit-trust-0.25.5-1.fc39.x86_64                                            
  package-notes-srpm-macros-0.5-9.fc39.noarch                                   
  pam-1.5.3-3.fc39.x86_64                                                       
  pam-libs-1.5.3-3.fc39.x86_64                                                  
  patch-2.7.6-22.fc39.x86_64                                                    
  pcre2-10.42-1.fc39.2.x86_64                                                   
  pcre2-syntax-10.42-1.fc39.2.noarch                                            
  perl-srpm-macros-1-51.fc39.noarch                                             
  pkgconf-1.9.5-2.fc39.x86_64                                                   
  pkgconf-m4-1.9.5-2.fc39.noarch                                                
  pkgconf-pkg-config-1.9.5-2.fc39.x86_64                                        
  popt-1.19-3.fc39.x86_64                                                       
  publicsuffix-list-dafsa-20240107-1.fc39.noarch                                
  pyproject-srpm-macros-1.16.0-1.fc39.noarch                                    
  python-srpm-macros-3.12-8.fc39.noarch                                         
  qt5-srpm-macros-5.15.14-2.fc39.noarch                                         
  qt6-srpm-macros-6.6.2-1.fc39.noarch                                           
  readline-8.2-6.fc39.x86_64                                                    
  redhat-rpm-config-266-1.fc39.noarch                                           
  rpm-4.19.1.1-1.fc39.x86_64                                                    
  rpm-build-4.19.1.1-1.fc39.x86_64                                              
  rpm-build-libs-4.19.1.1-1.fc39.x86_64                                         
  rpm-libs-4.19.1.1-1.fc39.x86_64                                               
  rpm-sequoia-1.7.0-1.fc39.x86_64                                               
  rpmautospec-rpm-macros-0.7.3-1.fc39.noarch                                    
  rust-srpm-macros-26.3-1.fc39.noarch                                           
  sed-4.8-14.fc39.x86_64                                                        
  setup-2.14.4-1.fc39.noarch                                                    
  shadow-utils-2:4.14.0-2.fc39.x86_64                                           
  sqlite-libs-3.42.0-7.fc39.x86_64                                              
  systemd-libs-254.20-1.fc39.x86_64                                             
  tar-2:1.35-2.fc39.x86_64                                                      
  unzip-6.0-62.fc39.x86_64                                                      
  util-linux-2.39.4-1.fc39.x86_64                                               
  util-linux-core-2.39.4-1.fc39.x86_64                                          
  which-2.21-40.fc39.x86_64                                                     
  xxhash-libs-0.8.2-4.fc39.x86_64                                               
  xz-5.4.4-1.fc39.x86_64                                                        
  xz-libs-5.4.4-1.fc39.x86_64                                                   
  zip-3.0-39.fc39.x86_64                                                        
  zlib-1.2.13-4.fc39.x86_64                                                     
  zstd-1.5.6-1.fc39.x86_64                                                      

Complete!
Finish: installing minimal buildroot with dnf
Start: creating root cache
Finish: creating root cache
Finish: chroot init
INFO: Installed packages:
INFO: alternatives-1.26-1.fc39.x86_64
ansible-srpm-macros-1-12.fc39.noarch
audit-libs-3.1.5-1.fc39.x86_64
authselect-1.4.3-1.fc39.x86_64
authselect-libs-1.4.3-1.fc39.x86_64
basesystem-11-18.fc39.noarch
bash-5.2.26-1.fc39.x86_64
binutils-2.40-14.fc39.x86_64
binutils-gold-2.40-14.fc39.x86_64
bzip2-1.0.8-16.fc39.x86_64
bzip2-libs-1.0.8-16.fc39.x86_64
ca-certificates-2024.2.69_v8.0.401-1.0.fc39.noarch
coreutils-9.3-7.fc39.x86_64
coreutils-common-9.3-7.fc39.x86_64
cpio-2.14-4.fc39.x86_64
cracklib-2.9.11-2.fc39.x86_64
crypto-policies-20231204-1.git1e3a2e4.fc39.noarch
curl-8.2.1-5.fc39.x86_64
cyrus-sasl-lib-2.1.28-11.fc39.x86_64
debugedit-5.0-12.fc39.x86_64
diffutils-3.10-3.fc39.x86_64
dwz-0.15-3.fc39.x86_64
ed-1.19-4.fc39.x86_64
efi-srpm-macros-5-9.fc39.noarch
elfutils-0.192-4.fc39.x86_64
elfutils-debuginfod-client-0.192-4.fc39.x86_64
elfutils-default-yama-scope-0.192-4.fc39.noarch
elfutils-libelf-0.192-4.fc39.x86_64
elfutils-libs-0.192-4.fc39.x86_64
fedora-gpg-keys-39-2.noarch
fedora-release-39-36.noarch
fedora-release-common-39-36.noarch
fedora-release-identity-basic-39-36.noarch
fedora-repos-39-2.noarch
file-5.44-5.fc39.x86_64
file-libs-5.44-5.fc39.x86_64
filesystem-3.18-6.fc39.x86_64
findutils-4.9.0-6.fc39.x86_64
fonts-srpm-macros-2.0.5-12.fc39.noarch
forge-srpm-macros-0.3.1-1.fc39.noarch
fpc-srpm-macros-1.3-8.fc39.noarch
gawk-5.2.2-2.fc39.x86_64
gdb-minimal-15.1-1.fc39.x86_64
gdbm-libs-1.23-4.fc39.x86_64
ghc-srpm-macros-1.6.1-2.fc39.noarch
glibc-2.38-19.fc39.x86_64
glibc-common-2.38-19.fc39.x86_64
glibc-gconv-extra-2.38-19.fc39.x86_64
glibc-minimal-langpack-2.38-19.fc39.x86_64
gmp-6.2.1-5.fc39.x86_64
gnat-srpm-macros-6-3.fc39.noarch
go-srpm-macros-3.5.0-1.fc39.noarch
gpg-pubkey-18b8e74c-62f2920f
grep-3.11-3.fc39.x86_64
gzip-1.12-6.fc39.x86_64
info-7.0.3-3.fc39.x86_64
jansson-2.13.1-7.fc39.x86_64
json-c-0.17-1.fc39.x86_64
kernel-srpm-macros-1.0-20.fc39.noarch
keyutils-libs-1.6.3-1.fc39.x86_64
krb5-libs-1.21.3-2.fc39.x86_64
libacl-2.3.1-9.fc39.x86_64
libarchive-3.7.1-3.fc39.x86_64
libattr-2.5.1-8.fc39.x86_64
libblkid-2.39.4-1.fc39.x86_64
libbrotli-1.1.0-1.fc39.x86_64
libcap-2.48-9.fc39.x86_64
libcap-ng-0.8.3-8.fc39.x86_64
libcom_err-1.47.0-2.fc39.x86_64
libcurl-8.2.1-5.fc39.x86_64
libdb-5.3.28-56.fc39.x86_64
libeconf-0.5.2-2.fc39.x86_64
libevent-2.1.12-9.fc39.x86_64
libfdisk-2.39.4-1.fc39.x86_64
libffi-3.4.4-4.fc39.x86_64
libgcc-13.3.1-3.fc39.x86_64
libgomp-13.3.1-3.fc39.x86_64
libidn2-2.3.7-1.fc39.x86_64
libmount-2.39.4-1.fc39.x86_64
libnghttp2-1.55.1-5.fc39.x86_64
libnsl2-2.0.0-6.fc39.x86_64
libpkgconf-1.9.5-2.fc39.x86_64
libpsl-0.21.2-4.fc39.x86_64
libpwquality-1.4.5-6.fc39.x86_64
libselinux-3.5-5.fc39.x86_64
libsemanage-3.5-4.fc39.x86_64
libsepol-3.5-2.fc39.x86_64
libsigsegv-2.14-5.fc39.x86_64
libsmartcols-2.39.4-1.fc39.x86_64
libssh-0.10.6-2.fc39.x86_64
libssh-config-0.10.6-2.fc39.noarch
libstdc++-13.3.1-3.fc39.x86_64
libtasn1-4.19.0-3.fc39.x86_64
libtirpc-1.3.6-0.fc39.x86_64
libtool-ltdl-2.4.7-7.fc39.x86_64
libunistring-1.1-5.fc39.x86_64
libutempter-1.2.1-10.fc39.x86_64
libuuid-2.39.4-1.fc39.x86_64
libverto-0.3.2-6.fc39.x86_64
libxcrypt-4.4.36-2.fc39.x86_64
libxml2-2.10.4-3.fc39.x86_64
libzstd-1.5.6-1.fc39.x86_64
lua-libs-5.4.6-3.fc39.x86_64
lua-srpm-macros-1-13.fc39.noarch
lz4-libs-1.9.4-4.fc39.x86_64
mpfr-4.2.0-3.fc39.x86_64
ncurses-base-6.4-7.20230520.fc39.1.noarch
ncurses-libs-6.4-7.20230520.fc39.1.x86_64
ocaml-srpm-macros-8-2.fc39.noarch
openblas-srpm-macros-2-14.fc39.noarch
openldap-2.6.7-1.fc39.x86_64
openssl-libs-3.1.4-4.fc39.x86_64
p11-kit-0.25.5-1.fc39.x86_64
p11-kit-trust-0.25.5-1.fc39.x86_64
package-notes-srpm-macros-0.5-9.fc39.noarch
pam-1.5.3-3.fc39.x86_64
pam-libs-1.5.3-3.fc39.x86_64
patch-2.7.6-22.fc39.x86_64
pcre2-10.42-1.fc39.2.x86_64
pcre2-syntax-10.42-1.fc39.2.noarch
perl-srpm-macros-1-51.fc39.noarch
pkgconf-1.9.5-2.fc39.x86_64
pkgconf-m4-1.9.5-2.fc39.noarch
pkgconf-pkg-config-1.9.5-2.fc39.x86_64
popt-1.19-3.fc39.x86_64
publicsuffix-list-dafsa-20240107-1.fc39.noarch
pyproject-srpm-macros-1.16.0-1.fc39.noarch
python-srpm-macros-3.12-8.fc39.noarch
qt5-srpm-macros-5.15.14-2.fc39.noarch
qt6-srpm-macros-6.6.2-1.fc39.noarch
readline-8.2-6.fc39.x86_64
redhat-rpm-config-266-1.fc39.noarch
rpm-4.19.1.1-1.fc39.x86_64
rpm-build-4.19.1.1-1.fc39.x86_64
rpm-build-libs-4.19.1.1-1.fc39.x86_64
rpm-libs-4.19.1.1-1.fc39.x86_64
rpm-sequoia-1.7.0-1.fc39.x86_64
rpmautospec-rpm-macros-0.7.3-1.fc39.noarch
rust-srpm-macros-26.3-1.fc39.noarch
sed-4.8-14.fc39.x86_64
setup-2.14.4-1.fc39.noarch
shadow-utils-4.14.0-2.fc39.x86_64
sqlite-libs-3.42.0-7.fc39.x86_64
systemd-libs-254.20-1.fc39.x86_64
tar-1.35-2.fc39.x86_64
unzip-6.0-62.fc39.x86_64
util-linux-2.39.4-1.fc39.x86_64
util-linux-core-2.39.4-1.fc39.x86_64
which-2.21-40.fc39.x86_64
xxhash-libs-0.8.2-4.fc39.x86_64
xz-5.4.4-1.fc39.x86_64
xz-libs-5.4.4-1.fc39.x86_64
zip-3.0-39.fc39.x86_64
zlib-1.2.13-4.fc39.x86_64
zstd-1.5.6-1.fc39.x86_64
Start: buildsrpm
Start: rpmbuild -bs
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1612569600
Wrote: /builddir/build/SRPMS/yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Finish: rpmbuild -bs
INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan
INFO: /var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.log
/var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.librepo.log
/var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.rpm.log
INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz
/bin/tar: Removing leading `/' from member names
Finish: buildsrpm
INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-iy5_kmfp/yosys/yosys.spec) Config(child) 1 minutes 48 seconds
INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results
INFO: Cleaning up build root ('cleanup_on_success=True')
Start: clean chroot
INFO: unmounting tmpfs.
Finish: clean chroot
INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.47-20241203.0.git889894a6.fc39.src.rpm)  Config(fedora-39-x86_64)
Start(bootstrap): chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1733187162.750318/root.
INFO: reusing tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1733187162.750318/root.
INFO: calling preinit hooks
INFO: enabled root cache
INFO: enabled package manager cache
Start(bootstrap): cleaning package manager metadata
Finish(bootstrap): cleaning package manager metadata
Finish(bootstrap): chroot init
Start: chroot init
INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-1733187162.750318/root.
INFO: calling preinit hooks
INFO: enabled root cache
Start: unpacking root cache
Finish: unpacking root cache
INFO: enabled package manager cache
Start: cleaning package manager metadata
Finish: cleaning package manager metadata
INFO: enabled HW Info plugin
INFO: Buildroot is handled by package management downloaded with a bootstrap image:
  rpm-4.19.1.1-1.fc39.x86_64
  rpm-sequoia-1.7.0-1.fc39.x86_64
  python3-dnf-4.21.1-1.fc39.noarch
  python3-dnf-plugins-core-4.9.0-1.fc39.noarch
  yum-4.21.1-1.fc39.noarch
Finish: chroot init
Start: build phase for yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Start: build setup for yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1612569600
Wrote: /builddir/build/SRPMS/yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
No matches found for the following disable plugin patterns: local, spacewalk, versionlock
Copr repository                                  31 kB/s | 1.5 kB     00:00    
Additional repo copr_rezso_ML                    46 kB/s | 1.5 kB     00:00    
Additional repo copr_rezso_CUDA                  46 kB/s | 1.5 kB     00:00    
Additional repo http_developer_download_nvidia_ 168 kB/s | 3.5 kB     00:00    
Additional repo http_developer_download_nvidia_ 164 kB/s | 3.5 kB     00:00    
Additional repo http_developer_download_nvidia_ 160 kB/s | 3.5 kB     00:00    
fedora                                          1.1 MB/s |  32 kB     00:00    
updates                                         1.0 MB/s |  29 kB     00:00    
Package pkgconf-pkg-config-1.9.5-2.fc39.x86_64 is already installed.
Dependencies resolved.
===================================================================================================
 Package                                  Arch    Version                          Repo        Size
===================================================================================================
Installing:
 abc                                      x86_64  1.02-20241127.0.git14168eb5.fc39 copr_base   19 k
 bison                                    x86_64  3.8.2-5.fc39                     fedora     1.0 M
 flex                                     x86_64  2.6.4-13.fc39                    fedora     312 k
 gcc-c++                                  x86_64  13.3.1-3.fc39                    updates     13 M
 git                                      x86_64  2.47.0-1.fc39                    updates     51 k
 graphviz                                 x86_64  8.1.0-6.fc39                     updates    5.0 M
 iverilog                                 x86_64  13.0-20241127.0.gitb7451197.fc39 copr_base  2.2 M
 libffi-devel                             x86_64  3.4.4-4.fc39                     fedora      29 k
 python3                                  x86_64  3.12.7-1.fc39                    updates     28 k
 readline-devel                           x86_64  8.2-6.fc39                       updates    207 k
 tcl-devel                                x86_64  1:8.6.12-5.fc39                  fedora     169 k
 txt2man                                  noarch  1.7.1-5.fc39                     fedora      28 k
Installing dependencies:
 abattis-cantarell-vf-fonts               noarch  0.301-10.fc39                    fedora     121 k
 abc-libs                                 x86_64  1.02-20241127.0.git14168eb5.fc39 copr_base  4.8 M
 adobe-mappings-cmap                      noarch  20231115-1.fc39                  updates    2.2 M
 adobe-mappings-cmap-deprecated           noarch  20231115-1.fc39                  updates    111 k
 adobe-mappings-pdf                       noarch  20190401-5.fc39                  fedora     698 k
 annobin-docs                             noarch  12.60-1.fc39                     updates     88 k
 annobin-plugin-gcc                       x86_64  12.60-1.fc39                     updates    965 k
 avahi-libs                               x86_64  0.8-24.fc39                      fedora      67 k
 cairo                                    x86_64  1.18.0-1.fc39                    fedora     710 k
 cairo-gobject                            x86_64  1.18.0-1.fc39                    fedora      19 k
 cpp                                      x86_64  13.3.1-3.fc39                    updates     11 M
 crypto-policies-scripts                  noarch  20231204-1.git1e3a2e4.fc39       updates    117 k
 cups-libs                                x86_64  1:2.4.11-1.fc39                  updates    268 k
 dbus-libs                                x86_64  1:1.14.10-1.fc39                 fedora     156 k
 default-fonts-core-sans                  noarch  4.0-9.fc39                       fedora      32 k
 expat                                    x86_64  2.6.3-1.fc39                     updates    114 k
 fontconfig                               x86_64  2.14.2-6.fc39                    updates    296 k
 fonts-filesystem                         noarch  1:2.0.5-12.fc39                  fedora     8.2 k
 freetype                                 x86_64  2.13.1-2.fc39                    fedora     414 k
 fribidi                                  x86_64  1.0.13-2.fc39                    fedora      91 k
 gc                                       x86_64  8.2.2-4.fc39                     fedora     110 k
 gcc                                      x86_64  13.3.1-3.fc39                    updates     34 M
 gcc-plugin-annobin                       x86_64  13.3.1-3.fc39                    updates     58 k
 gd                                       x86_64  2.3.3-12.fc39                    fedora     139 k
 gdk-pixbuf2                              x86_64  2.42.10-5.fc39                   fedora     484 k
 git-core                                 x86_64  2.47.0-1.fc39                    updates    4.8 M
 git-core-doc                             noarch  2.47.0-1.fc39                    updates    3.0 M
 glib2                                    x86_64  2.78.6-1.fc39                    updates    2.8 M
 glibc-devel                              x86_64  2.38-19.fc39                     updates     74 k
 glibc-headers-x86                        noarch  2.38-19.fc39                     updates    562 k
 gnutls                                   x86_64  3.8.6-1.fc39                     updates    1.1 M
 google-droid-sans-fonts                  noarch  20200215-17.fc39                 fedora     2.7 M
 google-noto-fonts-common                 noarch  20240101-1.fc39                  updates     17 k
 google-noto-sans-vf-fonts                noarch  20240101-1.fc39                  updates    593 k
 graphite2                                x86_64  1.3.14-12.fc39                   fedora      95 k
 groff-base                               x86_64  1.23.0-3.fc39                    updates    1.1 M
 gts                                      x86_64  0.7.6-46.20121130.fc39           fedora     240 k
 guile22                                  x86_64  2.2.7-9.fc39                     fedora     6.5 M
 harfbuzz                                 x86_64  8.2.1-2.fc39                     fedora     975 k
 highway                                  x86_64  1.1.0-1.fc39                     updates    496 k
 jbig2dec-libs                            x86_64  0.19-10.fc39                     fedora      73 k
 jbigkit-libs                             x86_64  2.1-26.fc39                      fedora      53 k
 kernel-headers                           x86_64  6.11.3-100.fc39                  updates    1.6 M
 lasi                                     x86_64  1.1.3-11.fc39                    fedora      54 k
 lcms2                                    x86_64  2.15-2.fc39                      fedora     177 k
 less                                     x86_64  633-4.fc39                       updates    175 k
 libICE                                   x86_64  1.0.10-11.fc39                   fedora      70 k
 libSM                                    x86_64  1.2.3-13.fc39                    fedora      41 k
 libX11                                   x86_64  1.8.9-1.fc39                     updates    650 k
 libX11-common                            noarch  1.8.9-1.fc39                     updates    176 k
 libXau                                   x86_64  1.0.11-3.fc39                    fedora      31 k
 libXext                                  x86_64  1.3.5-3.fc39                     fedora      39 k
 libXft                                   x86_64  2.3.8-3.fc39                     fedora      72 k
 libXpm                                   x86_64  3.5.17-1.fc39                    updates     65 k
 libXrender                               x86_64  0.9.11-3.fc39                    fedora      27 k
 libXt                                    x86_64  1.2.1-5.fc39                     fedora     178 k
 libaom                                   x86_64  3.9.0-1.fc39                     updates    1.8 M
 libavif                                  x86_64  0.11.1-11.fc39                   fedora      84 k
 libb2                                    x86_64  0.98.1-9.fc39                    fedora      25 k
 libcbor                                  x86_64  0.10.2-2.fc39                    fedora      58 k
 libdatrie                                x86_64  0.2.13-7.fc39                    fedora      32 k
 libdav1d                                 x86_64  1.2.1-2.fc39                     fedora     618 k
 libedit                                  x86_64  3.1-53.20240808cvs.fc39          updates    107 k
 libfido2                                 x86_64  1.13.0-3.fc39                    fedora      98 k
 libgs                                    x86_64  10.02.1-7.fc39                   updates    3.4 M
 libijs                                   x86_64  0.35-19.fc39                     fedora      29 k
 libimagequant                            x86_64  4.0.3-5.fc39                     updates    300 k
 libjpeg-turbo                            x86_64  2.1.4-3.fc39                     fedora     183 k
 libjxl                                   x86_64  1:0.8.3-1.fc39                   updates    1.2 M
 liblerc                                  x86_64  4.0.0-4.fc39                     fedora     201 k
 libmpc                                   x86_64  1.3.1-3.fc39                     fedora      70 k
 libpaper                                 x86_64  1:2.1.1-1.fc39                   fedora      27 k
 libpng                                   x86_64  2:1.6.37-15.fc39                 fedora     119 k
 librsvg2                                 x86_64  2.57.1-2.fc39                    updates    1.6 M
 libstdc++-devel                          x86_64  13.3.1-3.fc39                    updates    2.6 M
 libthai                                  x86_64  0.1.29-6.fc39                    fedora     213 k
 libtiff                                  x86_64  4.4.0-10.fc39                    updates    200 k
 libvmaf                                  x86_64  2.3.0-6.fc39                     fedora     180 k
 libwebp                                  x86_64  1.3.2-2.fc39                     fedora     284 k
 libxcb                                   x86_64  1.13.1-12.fc39                   fedora     233 k
 libxcrypt-devel                          x86_64  4.4.36-2.fc39                    fedora      30 k
 m4                                       x86_64  1.4.19-6.fc39                    fedora     303 k
 make                                     x86_64  1:4.4.1-2.fc39                   fedora     589 k
 mpdecimal                                x86_64  2.5.1-7.fc39                     fedora      89 k
 ncurses                                  x86_64  6.4-7.20230520.fc39.1            updates    416 k
 ncurses-c++-libs                         x86_64  6.4-7.20230520.fc39.1            updates     37 k
 ncurses-devel                            x86_64  6.4-7.20230520.fc39.1            updates    546 k
 netpbm                                   x86_64  11.02.00-2.fc39                  fedora     185 k
 nettle                                   x86_64  3.9.1-2.fc39                     fedora     425 k
 nspr                                     x86_64  4.35.0-24.fc39                   updates    137 k
 nss                                      x86_64  3.105.0-1.fc39                   updates    708 k
 nss-softokn                              x86_64  3.105.0-1.fc39                   updates    422 k
 nss-softokn-freebl                       x86_64  3.105.0-1.fc39                   updates    324 k
 nss-sysinit                              x86_64  3.105.0-1.fc39                   updates     18 k
 nss-util                                 x86_64  3.105.0-1.fc39                   updates     88 k
 openjpeg2                                x86_64  2.5.2-1.fc39                     updates    178 k
 openssh                                  x86_64  9.3p1-11.fc39                    updates    437 k
 openssh-clients                          x86_64  9.3p1-11.fc39                    updates    734 k
 pango                                    x86_64  1.51.0-1.fc39                    fedora     343 k
 perl-AutoLoader                          noarch  5.74-502.fc39                    updates     21 k
 perl-B                                   x86_64  1.88-502.fc39                    updates    177 k
 perl-Carp                                noarch  1.54-500.fc39                    fedora      29 k
 perl-Class-Struct                        noarch  0.68-502.fc39                    updates     22 k
 perl-Data-Dumper                         x86_64  2.188-501.fc39                   fedora      56 k
 perl-Digest                              noarch  1.20-500.fc39                    fedora      25 k
 perl-Digest-MD5                          x86_64  2.58-500.fc39                    fedora      35 k
 perl-DynaLoader                          x86_64  1.54-502.fc39                    updates     26 k
 perl-Encode                              x86_64  4:3.19-500.fc39                  fedora     1.7 M
 perl-Errno                               x86_64  1.37-502.fc39                    updates     15 k
 perl-Error                               noarch  1:0.17029-13.fc39                fedora      40 k
 perl-Exporter                            noarch  5.77-500.fc39                    fedora      31 k
 perl-Fcntl                               x86_64  1.15-502.fc39                    updates     21 k
 perl-File-Basename                       noarch  2.86-502.fc39                    updates     17 k
 perl-File-Find                           noarch  1.43-502.fc39                    updates     25 k
 perl-File-Path                           noarch  2.18-500.fc39                    fedora      35 k
 perl-File-Temp                           noarch  1:0.231.100-500.fc39             fedora      58 k
 perl-File-stat                           noarch  1.13-502.fc39                    updates     17 k
 perl-FileHandle                          noarch  2.05-502.fc39                    updates     16 k
 perl-Getopt-Long                         noarch  1:2.54-500.fc39                  fedora      60 k
 perl-Getopt-Std                          noarch  1.13-502.fc39                    updates     16 k
 perl-Git                                 noarch  2.47.0-1.fc39                    updates     38 k
 perl-HTTP-Tiny                           noarch  0.088-3.fc39                     fedora      56 k
 perl-IO                                  x86_64  1.52-502.fc39                    updates     82 k
 perl-IO-Socket-IP                        noarch  0.42-1.fc39                      fedora      42 k
 perl-IO-Socket-SSL                       noarch  2.083-3.fc39                     fedora     225 k
 perl-IPC-Open3                           noarch  1.22-502.fc39                    updates     22 k
 perl-MIME-Base64                         x86_64  3.16-500.fc39                    fedora      29 k
 perl-Mozilla-CA                          noarch  20230801-1.fc39                  fedora      13 k
 perl-Net-SSLeay                          x86_64  1.92-10.fc39                     fedora     360 k
 perl-POSIX                               x86_64  2.13-502.fc39                    updates     97 k
 perl-PathTools                           x86_64  3.89-500.fc39                    fedora      87 k
 perl-Pod-Escapes                         noarch  1:1.07-500.fc39                  fedora      20 k
 perl-Pod-Perldoc                         noarch  3.28.01-501.fc39                 fedora      86 k
 perl-Pod-Simple                          noarch  1:3.45-4.fc39                    fedora     218 k
 perl-Pod-Usage                           noarch  4:2.03-500.fc39                  fedora      39 k
 perl-Scalar-List-Utils                   x86_64  5:1.63-500.fc39                  fedora      72 k
 perl-SelectSaver                         noarch  1.02-502.fc39                    updates     12 k
 perl-Socket                              x86_64  4:2.037-3.fc39                   fedora      55 k
 perl-Storable                            x86_64  1:3.32-500.fc39                  fedora      99 k
 perl-Symbol                              noarch  1.09-502.fc39                    updates     14 k
 perl-Term-ANSIColor                      noarch  5.01-501.fc39                    fedora      47 k
 perl-Term-Cap                            noarch  1.18-500.fc39                    fedora      22 k
 perl-TermReadKey                         x86_64  2.38-18.fc39                     fedora      35 k
 perl-Text-ParseWords                     noarch  3.31-500.fc39                    fedora      16 k
 perl-Text-Tabs+Wrap                      noarch  2023.0511-3.fc39                 fedora      22 k
 perl-Time-Local                          noarch  2:1.350-3.fc39                   fedora      34 k
 perl-URI                                 noarch  5.21-1.fc39                      fedora     125 k
 perl-base                                noarch  2.27-502.fc39                    updates     16 k
 perl-constant                            noarch  1.33-501.fc39                    fedora      22 k
 perl-if                                  noarch  0.61.000-502.fc39                updates     14 k
 perl-interpreter                         x86_64  4:5.38.2-502.fc39                updates     72 k
 perl-lib                                 x86_64  0.65-502.fc39                    updates     15 k
 perl-libnet                              noarch  3.15-501.fc39                    fedora     129 k
 perl-libs                                x86_64  4:5.38.2-502.fc39                updates    2.4 M
 perl-locale                              noarch  1.10-502.fc39                    updates     14 k
 perl-mro                                 x86_64  1.28-502.fc39                    updates     29 k
 perl-overload                            noarch  1.37-502.fc39                    updates     46 k
 perl-overloading                         noarch  0.02-502.fc39                    updates     13 k
 perl-parent                              noarch  1:0.241-500.fc39                 fedora      14 k
 perl-podlators                           noarch  1:5.01-500.fc39                  fedora     125 k
 perl-vars                                noarch  1.05-502.fc39                    updates     13 k
 pixman                                   x86_64  0.42.2-2.fc39                    fedora     288 k
 poppler                                  x86_64  23.08.0-1.fc39                   fedora     1.2 M
 poppler-data                             noarch  0.4.11-5.fc39                    fedora     2.0 M
 poppler-glib                             x86_64  23.08.0-1.fc39                   fedora     185 k
 python-pip-wheel                         noarch  23.2.1-2.fc39                    updates    1.5 M
 python3-libs                             x86_64  3.12.7-1.fc39                    updates    9.2 M
 rav1e-libs                               x86_64  0.7.1-4.fc39                     updates    1.0 M
 rsvg-pixbuf-loader                       x86_64  2.57.1-2.fc39                    updates     16 k
 shared-mime-info                         x86_64  2.2-4.fc39                       fedora     380 k
 svt-av1-libs                             x86_64  1.4.1-3.fc39                     fedora     2.0 M
 tcl                                      x86_64  1:8.6.12-5.fc39                  fedora     1.1 M
 tzdata                                   noarch  2024a-2.fc39                     updates    715 k
 urw-base35-bookman-fonts                 noarch  20200910-20.fc39                 updates    847 k
 urw-base35-c059-fonts                    noarch  20200910-20.fc39                 updates    874 k
 urw-base35-d050000l-fonts                noarch  20200910-20.fc39                 updates     76 k
 urw-base35-fonts                         noarch  20200910-20.fc39                 updates     10 k
 urw-base35-fonts-common                  noarch  20200910-20.fc39                 updates     21 k
 urw-base35-gothic-fonts                  noarch  20200910-20.fc39                 updates    643 k
 urw-base35-nimbus-mono-ps-fonts          noarch  20200910-20.fc39                 updates    795 k
 urw-base35-nimbus-roman-fonts            noarch  20200910-20.fc39                 updates    856 k
 urw-base35-nimbus-sans-fonts             noarch  20200910-20.fc39                 updates    1.3 M
 urw-base35-p052-fonts                    noarch  20200910-20.fc39                 updates    973 k
 urw-base35-standard-symbols-ps-fonts     noarch  20200910-20.fc39                 updates     58 k
 urw-base35-z003-fonts                    noarch  20200910-20.fc39                 updates    276 k
 xml-common                               noarch  0.6.3-61.fc39                    fedora      31 k
 zlib-devel                               x86_64  1.2.13-4.fc39                    fedora      45 k

Transaction Summary
===================================================================================================
Install  199 Packages

Total download size: 160 M
Installed size: 568 M
Downloading Packages:
(1/199): abc-1.02-20241127.0.git14168eb5.fc39.x 314 kB/s |  19 kB     00:00    
(2/199): abattis-cantarell-vf-fonts-0.301-10.fc 1.2 MB/s | 121 kB     00:00    
(3/199): abc-libs-1.02-20241127.0.git14168eb5.f  25 MB/s | 4.8 MB     00:00    
(4/199): iverilog-13.0-20241127.0.gitb7451197.f  11 MB/s | 2.2 MB     00:00    
(5/199): adobe-mappings-pdf-20190401-5.fc39.noa  12 MB/s | 698 kB     00:00    
(6/199): avahi-libs-0.8-24.fc39.x86_64.rpm      1.7 MB/s |  67 kB     00:00    
(7/199): cairo-1.18.0-1.fc39.x86_64.rpm          37 MB/s | 710 kB     00:00    
(8/199): cairo-gobject-1.18.0-1.fc39.x86_64.rpm 1.9 MB/s |  19 kB     00:00    
(9/199): dbus-libs-1.14.10-1.fc39.x86_64.rpm     14 MB/s | 156 kB     00:00    
(10/199): default-fonts-core-sans-4.0-9.fc39.no 2.9 MB/s |  32 kB     00:00    
(11/199): flex-2.6.4-13.fc39.x86_64.rpm          22 MB/s | 312 kB     00:00    
(12/199): fonts-filesystem-2.0.5-12.fc39.noarch 892 kB/s | 8.2 kB     00:00    
(13/199): freetype-2.13.1-2.fc39.x86_64.rpm      21 MB/s | 414 kB     00:00    
(14/199): fribidi-1.0.13-2.fc39.x86_64.rpm      4.9 MB/s |  91 kB     00:00    
(15/199): bison-3.8.2-5.fc39.x86_64.rpm          13 MB/s | 1.0 MB     00:00    
(16/199): gc-8.2.2-4.fc39.x86_64.rpm             10 MB/s | 110 kB     00:00    
(17/199): gd-2.3.3-12.fc39.x86_64.rpm           7.5 MB/s | 139 kB     00:00    
(18/199): gdk-pixbuf2-2.42.10-5.fc39.x86_64.rpm  26 MB/s | 484 kB     00:00    
(19/199): graphite2-1.3.14-12.fc39.x86_64.rpm   7.4 MB/s |  95 kB     00:00    
(20/199): gts-0.7.6-46.20121130.fc39.x86_64.rpm  13 MB/s | 240 kB     00:00    
(21/199): google-droid-sans-fonts-20200215-17.f  31 MB/s | 2.7 MB     00:00    
(22/199): jbig2dec-libs-0.19-10.fc39.x86_64.rpm 5.3 MB/s |  73 kB     00:00    
(23/199): harfbuzz-8.2.1-2.fc39.x86_64.rpm       12 MB/s | 975 kB     00:00    
(24/199): jbigkit-libs-2.1-26.fc39.x86_64.rpm   4.9 MB/s |  53 kB     00:00    
(25/199): lasi-1.1.3-11.fc39.x86_64.rpm         4.7 MB/s |  54 kB     00:00    
(26/199): lcms2-2.15-2.fc39.x86_64.rpm           12 MB/s | 177 kB     00:00    
(27/199): libICE-1.0.10-11.fc39.x86_64.rpm      5.5 MB/s |  70 kB     00:00    
(28/199): libSM-1.2.3-13.fc39.x86_64.rpm        3.7 MB/s |  41 kB     00:00    
(29/199): libXau-1.0.11-3.fc39.x86_64.rpm       3.5 MB/s |  31 kB     00:00    
(30/199): libXext-1.3.5-3.fc39.x86_64.rpm       4.0 MB/s |  39 kB     00:00    
(31/199): libXft-2.3.8-3.fc39.x86_64.rpm        6.9 MB/s |  72 kB     00:00    
(32/199): libXrender-0.9.11-3.fc39.x86_64.rpm   2.5 MB/s |  27 kB     00:00    
(33/199): libXt-1.2.1-5.fc39.x86_64.rpm          12 MB/s | 178 kB     00:00    
(34/199): libavif-0.11.1-11.fc39.x86_64.rpm     6.8 MB/s |  84 kB     00:00    
(35/199): libb2-0.98.1-9.fc39.x86_64.rpm        2.4 MB/s |  25 kB     00:00    
(36/199): libcbor-0.10.2-2.fc39.x86_64.rpm      5.6 MB/s |  58 kB     00:00    
(37/199): libdatrie-0.2.13-7.fc39.x86_64.rpm    2.8 MB/s |  32 kB     00:00    
(38/199): libffi-devel-3.4.4-4.fc39.x86_64.rpm  2.5 MB/s |  29 kB     00:00    
(39/199): libdav1d-1.2.1-2.fc39.x86_64.rpm       22 MB/s | 618 kB     00:00    
(40/199): libfido2-1.13.0-3.fc39.x86_64.rpm     8.2 MB/s |  98 kB     00:00    
(41/199): guile22-2.2.7-9.fc39.x86_64.rpm        33 MB/s | 6.5 MB     00:00    
(42/199): libijs-0.35-19.fc39.x86_64.rpm        1.7 MB/s |  29 kB     00:00    
(43/199): libjpeg-turbo-2.1.4-3.fc39.x86_64.rpm  15 MB/s | 183 kB     00:00    
(44/199): libpaper-2.1.1-1.fc39.x86_64.rpm      2.8 MB/s |  27 kB     00:00    
(45/199): libmpc-1.3.1-3.fc39.x86_64.rpm        6.7 MB/s |  70 kB     00:00    
(46/199): liblerc-4.0.0-4.fc39.x86_64.rpm        13 MB/s | 201 kB     00:00    
(47/199): libpng-1.6.37-15.fc39.x86_64.rpm       12 MB/s | 119 kB     00:00    
(48/199): libthai-0.1.29-6.fc39.x86_64.rpm       16 MB/s | 213 kB     00:00    
(49/199): libvmaf-2.3.0-6.fc39.x86_64.rpm        12 MB/s | 180 kB     00:00    
(50/199): libwebp-1.3.2-2.fc39.x86_64.rpm        21 MB/s | 284 kB     00:00    
(51/199): libxcb-1.13.1-12.fc39.x86_64.rpm       16 MB/s | 233 kB     00:00    
(52/199): libxcrypt-devel-4.4.36-2.fc39.x86_64. 2.9 MB/s |  30 kB     00:00    
(53/199): m4-1.4.19-6.fc39.x86_64.rpm            22 MB/s | 303 kB     00:00    
(54/199): mpdecimal-2.5.1-7.fc39.x86_64.rpm     6.7 MB/s |  89 kB     00:00    
(55/199): make-4.4.1-2.fc39.x86_64.rpm           30 MB/s | 589 kB     00:00    
(56/199): netpbm-11.02.00-2.fc39.x86_64.rpm      14 MB/s | 185 kB     00:00    
(57/199): perl-Carp-1.54-500.fc39.noarch.rpm    2.3 MB/s |  29 kB     00:00    
(58/199): pango-1.51.0-1.fc39.x86_64.rpm         19 MB/s | 343 kB     00:00    
(59/199): nettle-3.9.1-2.fc39.x86_64.rpm         18 MB/s | 425 kB     00:00    
(60/199): perl-Data-Dumper-2.188-501.fc39.x86_6 5.3 MB/s |  56 kB     00:00    
(61/199): perl-Digest-1.20-500.fc39.noarch.rpm  2.5 MB/s |  25 kB     00:00    
(62/199): perl-Digest-MD5-2.58-500.fc39.x86_64. 3.5 MB/s |  35 kB     00:00    
(63/199): perl-Error-0.17029-13.fc39.noarch.rpm 3.5 MB/s |  40 kB     00:00    
(64/199): perl-Exporter-5.77-500.fc39.noarch.rp 2.1 MB/s |  31 kB     00:00    
(65/199): perl-File-Path-2.18-500.fc39.noarch.r 3.3 MB/s |  35 kB     00:00    
(66/199): perl-File-Temp-0.231.100-500.fc39.noa 4.7 MB/s |  58 kB     00:00    
(67/199): perl-Getopt-Long-2.54-500.fc39.noarch 4.8 MB/s |  60 kB     00:00    
(68/199): perl-Encode-3.19-500.fc39.x86_64.rpm   42 MB/s | 1.7 MB     00:00    
(69/199): perl-HTTP-Tiny-0.088-3.fc39.noarch.rp 5.4 MB/s |  56 kB     00:00    
(70/199): perl-IO-Socket-IP-0.42-1.fc39.noarch. 4.3 MB/s |  42 kB     00:00    
(71/199): perl-IO-Socket-SSL-2.083-3.fc39.noarc  17 MB/s | 225 kB     00:00    
(72/199): perl-MIME-Base64-3.16-500.fc39.x86_64 2.0 MB/s |  29 kB     00:00    
(73/199): perl-Mozilla-CA-20230801-1.fc39.noarc 1.0 MB/s |  13 kB     00:00    
(74/199): perl-PathTools-3.89-500.fc39.x86_64.r 6.6 MB/s |  87 kB     00:00    
(75/199): perl-Pod-Escapes-1.07-500.fc39.noarch 1.8 MB/s |  20 kB     00:00    
(76/199): perl-Net-SSLeay-1.92-10.fc39.x86_64.r  23 MB/s | 360 kB     00:00    
(77/199): perl-Pod-Usage-2.03-500.fc39.noarch.r 4.1 MB/s |  39 kB     00:00    
(78/199): perl-Pod-Perldoc-3.28.01-501.fc39.noa 7.7 MB/s |  86 kB     00:00    
(79/199): perl-Pod-Simple-3.45-4.fc39.noarch.rp  15 MB/s | 218 kB     00:00    
(80/199): perl-Socket-2.037-3.fc39.x86_64.rpm   5.6 MB/s |  55 kB     00:00    
(81/199): perl-Scalar-List-Utils-1.63-500.fc39. 6.7 MB/s |  72 kB     00:00    
(82/199): perl-Storable-3.32-500.fc39.x86_64.rp 9.7 MB/s |  99 kB     00:00    
(83/199): perl-Term-ANSIColor-5.01-501.fc39.noa 4.5 MB/s |  47 kB     00:00    
(84/199): perl-Term-Cap-1.18-500.fc39.noarch.rp 2.2 MB/s |  22 kB     00:00    
(85/199): perl-TermReadKey-2.38-18.fc39.x86_64. 3.8 MB/s |  35 kB     00:00    
(86/199): perl-Text-ParseWords-3.31-500.fc39.no 1.7 MB/s |  16 kB     00:00    
(87/199): perl-Text-Tabs+Wrap-2023.0511-3.fc39. 2.4 MB/s |  22 kB     00:00    
(88/199): perl-Time-Local-1.350-3.fc39.noarch.r 3.4 MB/s |  34 kB     00:00    
(89/199): perl-constant-1.33-501.fc39.noarch.rp 2.5 MB/s |  22 kB     00:00    
(90/199): perl-URI-5.21-1.fc39.noarch.rpm       9.6 MB/s | 125 kB     00:00    
(91/199): perl-libnet-3.15-501.fc39.noarch.rpm   11 MB/s | 129 kB     00:00    
(92/199): perl-parent-0.241-500.fc39.noarch.rpm 1.5 MB/s |  14 kB     00:00    
(93/199): perl-podlators-5.01-500.fc39.noarch.r 9.9 MB/s | 125 kB     00:00    
(94/199): pixman-0.42.2-2.fc39.x86_64.rpm        19 MB/s | 288 kB     00:00    
(95/199): poppler-23.08.0-1.fc39.x86_64.rpm      37 MB/s | 1.2 MB     00:00    
(96/199): poppler-glib-23.08.0-1.fc39.x86_64.rp 6.6 MB/s | 185 kB     00:00    
(97/199): shared-mime-info-2.2-4.fc39.x86_64.rp  18 MB/s | 380 kB     00:00    
(98/199): poppler-data-0.4.11-5.fc39.noarch.rpm  21 MB/s | 2.0 MB     00:00    
(99/199): tcl-8.6.12-5.fc39.x86_64.rpm           20 MB/s | 1.1 MB     00:00    
(100/199): tcl-devel-8.6.12-5.fc39.x86_64.rpm    10 MB/s | 169 kB     00:00    
(101/199): txt2man-1.7.1-5.fc39.noarch.rpm      2.4 MB/s |  28 kB     00:00    
(102/199): svt-av1-libs-1.4.1-3.fc39.x86_64.rpm  23 MB/s | 2.0 MB     00:00    
(103/199): xml-common-0.6.3-61.fc39.noarch.rpm  3.2 MB/s |  31 kB     00:00    
(104/199): zlib-devel-1.2.13-4.fc39.x86_64.rpm  4.4 MB/s |  45 kB     00:00    
(105/199): annobin-docs-12.60-1.fc39.noarch.rpm 1.2 MB/s |  88 kB     00:00    
(106/199): adobe-mappings-cmap-deprecated-20231 1.4 MB/s | 111 kB     00:00    
(107/199): annobin-plugin-gcc-12.60-1.fc39.x86_ 8.2 MB/s | 965 kB     00:00    
(108/199): adobe-mappings-cmap-20231115-1.fc39. 9.9 MB/s | 2.2 MB     00:00    
(109/199): cpp-13.3.1-3.fc39.x86_64.rpm          34 MB/s |  11 MB     00:00    
(110/199): cups-libs-2.4.11-1.fc39.x86_64.rpm   367 kB/s | 268 kB     00:00    
(111/199): fontconfig-2.14.2-6.fc39.x86_64.rpm  1.6 MB/s | 296 kB     00:00    
(112/199): expat-2.6.3-1.fc39.x86_64.rpm        104 kB/s | 114 kB     00:01    
(113/199): crypto-policies-scripts-20231204-1.g  77 kB/s | 117 kB     00:01    
(114/199): gcc-plugin-annobin-13.3.1-3.fc39.x86 2.8 MB/s |  58 kB     00:00    
(115/199): gcc-13.3.1-3.fc39.x86_64.rpm          48 MB/s |  34 MB     00:00    
(116/199): git-2.47.0-1.fc39.x86_64.rpm         462 kB/s |  51 kB     00:00    
(117/199): gcc-c++-13.3.1-3.fc39.x86_64.rpm      30 MB/s |  13 MB     00:00    
(118/199): glib2-2.78.6-1.fc39.x86_64.rpm        12 MB/s | 2.8 MB     00:00    
(119/199): glibc-devel-2.38-19.fc39.x86_64.rpm  4.6 MB/s |  74 kB     00:00    
(120/199): git-core-2.47.0-1.fc39.x86_64.rpm     12 MB/s | 4.8 MB     00:00    
(121/199): gnutls-3.8.6-1.fc39.x86_64.rpm        11 MB/s | 1.1 MB     00:00    
(122/199): google-noto-fonts-common-20240101-1. 809 kB/s |  17 kB     00:00    
(123/199): glibc-headers-x86-2.38-19.fc39.noarc 1.8 MB/s | 562 kB     00:00    
(124/199): google-noto-sans-vf-fonts-20240101-1 5.0 MB/s | 593 kB     00:00    
(125/199): graphviz-8.1.0-6.fc39.x86_64.rpm      20 MB/s | 5.0 MB     00:00    
(126/199): groff-base-1.23.0-3.fc39.x86_64.rpm  3.8 MB/s | 1.1 MB     00:00    
(127/199): highway-1.1.0-1.fc39.x86_64.rpm      1.7 MB/s | 496 kB     00:00    
(128/199): kernel-headers-6.11.3-100.fc39.x86_6 6.3 MB/s | 1.6 MB     00:00    
(129/199): less-633-4.fc39.x86_64.rpm           2.5 MB/s | 175 kB     00:00    
(130/199): libX11-common-1.8.9-1.fc39.noarch.rp 1.1 MB/s | 176 kB     00:00    
(131/199): libXpm-3.5.17-1.fc39.x86_64.rpm      553 kB/s |  65 kB     00:00    
(132/199): git-core-doc-2.47.0-1.fc39.noarch.rp 1.8 MB/s | 3.0 MB     00:01    
(133/199): libX11-1.8.9-1.fc39.x86_64.rpm       1.4 MB/s | 650 kB     00:00    
(134/199): libaom-3.9.0-1.fc39.x86_64.rpm       7.4 MB/s | 1.8 MB     00:00    
(135/199): libedit-3.1-53.20240808cvs.fc39.x86_ 924 kB/s | 107 kB     00:00    
(136/199): libimagequant-4.0.3-5.fc39.x86_64.rp 1.3 MB/s | 300 kB     00:00    
(137/199): libjxl-0.8.3-1.fc39.x86_64.rpm       3.3 MB/s | 1.2 MB     00:00    
(138/199): librsvg2-2.57.1-2.fc39.x86_64.rpm    6.1 MB/s | 1.6 MB     00:00    
(139/199): libstdc++-devel-13.3.1-3.fc39.x86_64  16 MB/s | 2.6 MB     00:00    
(140/199): libgs-10.02.1-7.fc39.x86_64.rpm      5.0 MB/s | 3.4 MB     00:00    
(141/199): ncurses-c++-libs-6.4-7.20230520.fc39 559 kB/s |  37 kB     00:00    
(142/199): libtiff-4.4.0-10.fc39.x86_64.rpm     906 kB/s | 200 kB     00:00    
(143/199): ncurses-6.4-7.20230520.fc39.1.x86_64 1.7 MB/s | 416 kB     00:00    
(144/199): nspr-4.35.0-24.fc39.x86_64.rpm       1.6 MB/s | 137 kB     00:00    
(145/199): nss-softokn-3.105.0-1.fc39.x86_64.rp 9.9 MB/s | 422 kB     00:00    
(146/199): nss-3.105.0-1.fc39.x86_64.rpm        7.1 MB/s | 708 kB     00:00    
(147/199): ncurses-devel-6.4-7.20230520.fc39.1. 2.0 MB/s | 546 kB     00:00    
(148/199): nss-sysinit-3.105.0-1.fc39.x86_64.rp 133 kB/s |  18 kB     00:00    
(149/199): nss-softokn-freebl-3.105.0-1.fc39.x8 1.8 MB/s | 324 kB     00:00    
(150/199): openjpeg2-2.5.2-1.fc39.x86_64.rpm    822 kB/s | 178 kB     00:00    
(151/199): openssh-9.3p1-11.fc39.x86_64.rpm     2.2 MB/s | 437 kB     00:00    
(152/199): perl-AutoLoader-5.74-502.fc39.noarch 1.3 MB/s |  21 kB     00:00    
(153/199): perl-B-1.88-502.fc39.x86_64.rpm      1.2 MB/s | 177 kB     00:00    
(154/199): nss-util-3.105.0-1.fc39.x86_64.rpm   160 kB/s |  88 kB     00:00    
(155/199): openssh-clients-9.3p1-11.fc39.x86_64 2.3 MB/s | 734 kB     00:00    
(156/199): perl-Errno-1.37-502.fc39.x86_64.rpm  978 kB/s |  15 kB     00:00    
(157/199): perl-Fcntl-1.15-502.fc39.x86_64.rpm  1.4 MB/s |  21 kB     00:00    
(158/199): perl-DynaLoader-1.54-502.fc39.x86_64 279 kB/s |  26 kB     00:00    
(159/199): perl-File-Find-1.43-502.fc39.noarch. 1.6 MB/s |  25 kB     00:00    
(160/199): perl-File-stat-1.13-502.fc39.noarch. 1.1 MB/s |  17 kB     00:00    
(161/199): perl-FileHandle-2.05-502.fc39.noarch 1.0 MB/s |  16 kB     00:00    
(162/199): perl-File-Basename-2.86-502.fc39.noa 188 kB/s |  17 kB     00:00    
(163/199): perl-Git-2.47.0-1.fc39.noarch.rpm    341 kB/s |  38 kB     00:00    
(164/199): perl-Class-Struct-0.68-502.fc39.noar  57 kB/s |  22 kB     00:00    
(165/199): perl-Getopt-Std-1.13-502.fc39.noarch  67 kB/s |  16 kB     00:00    
(166/199): perl-IPC-Open3-1.22-502.fc39.noarch. 160 kB/s |  22 kB     00:00    
(167/199): perl-SelectSaver-1.02-502.fc39.noarc 317 kB/s |  12 kB     00:00    
(168/199): perl-Symbol-1.09-502.fc39.noarch.rpm  97 kB/s |  14 kB     00:00    
(169/199): perl-base-2.27-502.fc39.noarch.rpm   226 kB/s |  16 kB     00:00    
(170/199): perl-if-0.61.000-502.fc39.noarch.rpm 813 kB/s |  14 kB     00:00    
(171/199): perl-POSIX-2.13-502.fc39.x86_64.rpm  301 kB/s |  97 kB     00:00    
(172/199): perl-interpreter-5.38.2-502.fc39.x86 4.5 MB/s |  72 kB     00:00    
(173/199): perl-lib-0.65-502.fc39.x86_64.rpm     84 kB/s |  15 kB     00:00    
(174/199): perl-locale-1.10-502.fc39.noarch.rpm 519 kB/s |  14 kB     00:00    
(175/199): perl-libs-5.38.2-502.fc39.x86_64.rpm 6.5 MB/s | 2.4 MB     00:00    
(176/199): perl-mro-1.28-502.fc39.x86_64.rpm    181 kB/s |  29 kB     00:00    
(177/199): perl-overload-1.37-502.fc39.noarch.r 292 kB/s |  46 kB     00:00    
(178/199): perl-vars-1.05-502.fc39.noarch.rpm   176 kB/s |  13 kB     00:00    
(179/199): perl-IO-1.52-502.fc39.x86_64.rpm      74 kB/s |  82 kB     00:01    
(180/199): python3-3.12.7-1.fc39.x86_64.rpm     1.8 MB/s |  28 kB     00:00    
(181/199): python-pip-wheel-23.2.1-2.fc39.noarc 4.7 MB/s | 1.5 MB     00:00    
(182/199): perl-overloading-0.02-502.fc39.noarc  21 kB/s |  13 kB     00:00    
(183/199): python3-libs-3.12.7-1.fc39.x86_64.rp  25 MB/s | 9.2 MB     00:00    
(184/199): rsvg-pixbuf-loader-2.57.1-2.fc39.x86 277 kB/s |  16 kB     00:00    
(185/199): rav1e-libs-0.7.1-4.fc39.x86_64.rpm   4.4 MB/s | 1.0 MB     00:00    
(186/199): readline-devel-8.2-6.fc39.x86_64.rpm 1.0 MB/s | 207 kB     00:00    
(187/199): tzdata-2024a-2.fc39.noarch.rpm       5.5 MB/s | 715 kB     00:00    
(188/199): urw-base35-c059-fonts-20200910-20.fc 5.7 MB/s | 874 kB     00:00    
(189/199): urw-base35-fonts-20200910-20.fc39.no 186 kB/s |  10 kB     00:00    
(190/199): urw-base35-bookman-fonts-20200910-20 3.2 MB/s | 847 kB     00:00    
(191/199): urw-base35-fonts-common-20200910-20. 262 kB/s |  21 kB     00:00    
(192/199): urw-base35-d050000l-fonts-20200910-2 194 kB/s |  76 kB     00:00    
(193/199): urw-base35-nimbus-mono-ps-fonts-2020 3.7 MB/s | 795 kB     00:00    
(194/199): urw-base35-gothic-fonts-20200910-20. 1.6 MB/s | 643 kB     00:00    
(195/199): urw-base35-nimbus-sans-fonts-2020091 9.6 MB/s | 1.3 MB     00:00    
(196/199): urw-base35-standard-symbols-ps-fonts 2.3 MB/s |  58 kB     00:00    
(197/199): urw-base35-nimbus-roman-fonts-202009 3.4 MB/s | 856 kB     00:00    
(198/199): urw-base35-z003-fonts-20200910-20.fc 2.9 MB/s | 276 kB     00:00    
(199/199): urw-base35-p052-fonts-20200910-20.fc 4.1 MB/s | 973 kB     00:00    
--------------------------------------------------------------------------------
Total                                            18 MB/s | 160 MB     00:08     
Running transaction check
Transaction check succeeded.
Running transaction test
Transaction test succeeded.
Running transaction
  Preparing        :                                                        1/1 
  Installing       : libpng-2:1.6.37-15.fc39.x86_64                       1/199 
  Installing       : nspr-4.35.0-24.fc39.x86_64                           2/199 
  Installing       : libjpeg-turbo-2.1.4-3.fc39.x86_64                    3/199 
  Installing       : fonts-filesystem-1:2.0.5-12.fc39.noarch              4/199 
  Installing       : urw-base35-fonts-common-20200910-20.fc39.noarch      5/199 
  Installing       : nss-util-3.105.0-1.fc39.x86_64                       6/199 
  Installing       : expat-2.6.3-1.fc39.x86_64                            7/199 
  Installing       : libwebp-1.3.2-2.fc39.x86_64                          8/199 
  Installing       : libmpc-1.3.1-3.fc39.x86_64                           9/199 
  Installing       : openjpeg2-2.5.2-1.fc39.x86_64                       10/199 
  Installing       : adobe-mappings-cmap-20231115-1.fc39.noarch          11/199 
  Installing       : m4-1.4.19-6.fc39.x86_64                             12/199 
  Installing       : libICE-1.0.10-11.fc39.x86_64                        13/199 
  Installing       : lcms2-2.15-2.fc39.x86_64                            14/199 
  Installing       : libSM-1.2.3-13.fc39.x86_64                          15/199 
  Installing       : adobe-mappings-cmap-deprecated-20231115-1.fc39.n    16/199 
  Installing       : cpp-13.3.1-3.fc39.x86_64                            17/199 
  Installing       : nss-softokn-freebl-3.105.0-1.fc39.x86_64            18/199 
  Installing       : nss-softokn-3.105.0-1.fc39.x86_64                   19/199 
  Installing       : urw-base35-bookman-fonts-20200910-20.fc39.noarch    20/199 
  Running scriptlet: urw-base35-bookman-fonts-20200910-20.fc39.noarch    20/199 
  Installing       : urw-base35-c059-fonts-20200910-20.fc39.noarch       21/199 
  Running scriptlet: urw-base35-c059-fonts-20200910-20.fc39.noarch       21/199 
  Installing       : urw-base35-d050000l-fonts-20200910-20.fc39.noarc    22/199 
  Running scriptlet: urw-base35-d050000l-fonts-20200910-20.fc39.noarc    22/199 
  Installing       : urw-base35-gothic-fonts-20200910-20.fc39.noarch     23/199 
  Running scriptlet: urw-base35-gothic-fonts-20200910-20.fc39.noarch     23/199 
  Installing       : urw-base35-nimbus-mono-ps-fonts-20200910-20.fc39    24/199 
  Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-20.fc39    24/199 
  Installing       : urw-base35-nimbus-roman-fonts-20200910-20.fc39.n    25/199 
  Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-20.fc39.n    25/199 
  Installing       : urw-base35-nimbus-sans-fonts-20200910-20.fc39.no    26/199 
  Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-20.fc39.no    26/199 
  Installing       : urw-base35-p052-fonts-20200910-20.fc39.noarch       27/199 
  Running scriptlet: urw-base35-p052-fonts-20200910-20.fc39.noarch       27/199 
  Installing       : urw-base35-standard-symbols-ps-fonts-20200910-20    28/199 
  Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-20    28/199 
  Installing       : urw-base35-z003-fonts-20200910-20.fc39.noarch       29/199 
  Running scriptlet: urw-base35-z003-fonts-20200910-20.fc39.noarch       29/199 
  Installing       : urw-base35-fonts-20200910-20.fc39.noarch            30/199 
  Installing       : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch     31/199 
  Installing       : tzdata-2024a-2.fc39.noarch                          32/199 
  Installing       : rav1e-libs-0.7.1-4.fc39.x86_64                      33/199 
  Installing       : python-pip-wheel-23.2.1-2.fc39.noarch               34/199 
  Installing       : openssh-9.3p1-11.fc39.x86_64                        35/199 
  Installing       : ncurses-c++-libs-6.4-7.20230520.fc39.1.x86_64       36/199 
  Installing       : ncurses-devel-6.4-7.20230520.fc39.1.x86_64          37/199 
  Installing       : ncurses-6.4-7.20230520.fc39.1.x86_64                38/199 
  Installing       : libstdc++-devel-13.3.1-3.fc39.x86_64                39/199 
  Installing       : libimagequant-4.0.3-5.fc39.x86_64                   40/199 
  Installing       : libedit-3.1-53.20240808cvs.fc39.x86_64              41/199 
  Installing       : libX11-common-1.8.9-1.fc39.noarch                   42/199 
  Installing       : less-633-4.fc39.x86_64                              43/199 
  Installing       : kernel-headers-6.11.3-100.fc39.x86_64               44/199 
  Installing       : highway-1.1.0-1.fc39.x86_64                         45/199 
  Running scriptlet: groff-base-1.23.0-3.fc39.x86_64                     46/199 
  Installing       : groff-base-1.23.0-3.fc39.x86_64                     46/199 
  Running scriptlet: groff-base-1.23.0-3.fc39.x86_64                     46/199 
  Installing       : perl-Digest-1.20-500.fc39.noarch                    47/199 
  Installing       : perl-Digest-MD5-2.58-500.fc39.x86_64                48/199 
  Installing       : perl-B-1.88-502.fc39.x86_64                         49/199 
  Installing       : perl-FileHandle-2.05-502.fc39.noarch                50/199 
  Installing       : perl-Data-Dumper-2.188-501.fc39.x86_64              51/199 
  Installing       : perl-libnet-3.15-501.fc39.noarch                    52/199 
  Installing       : perl-AutoLoader-5.74-502.fc39.noarch                53/199 
  Installing       : perl-base-2.27-502.fc39.noarch                      54/199 
  Installing       : perl-URI-5.21-1.fc39.noarch                         55/199 
  Installing       : perl-Pod-Escapes-1:1.07-500.fc39.noarch             56/199 
  Installing       : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch         57/199 
  Installing       : perl-Time-Local-2:1.350-3.fc39.noarch               58/199 
  Installing       : perl-Net-SSLeay-1.92-10.fc39.x86_64                 59/199 
  Installing       : perl-Mozilla-CA-20230801-1.fc39.noarch              60/199 
  Installing       : perl-File-Path-2.18-500.fc39.noarch                 61/199 
  Installing       : perl-if-0.61.000-502.fc39.noarch                    62/199 
  Installing       : perl-locale-1.10-502.fc39.noarch                    63/199 
  Installing       : perl-IO-Socket-IP-0.42-1.fc39.noarch                64/199 
  Installing       : perl-IO-Socket-SSL-2.083-3.fc39.noarch              65/199 
  Installing       : perl-Term-ANSIColor-5.01-501.fc39.noarch            66/199 
  Installing       : perl-Term-Cap-1.18-500.fc39.noarch                  67/199 
  Installing       : perl-Class-Struct-0.68-502.fc39.noarch              68/199 
  Installing       : perl-POSIX-2.13-502.fc39.x86_64                     69/199 
  Installing       : perl-File-Temp-1:0.231.100-500.fc39.noarch          70/199 
  Installing       : perl-HTTP-Tiny-0.088-3.fc39.noarch                  71/199 
  Installing       : perl-Pod-Simple-1:3.45-4.fc39.noarch                72/199 
  Installing       : perl-IPC-Open3-1.22-502.fc39.noarch                 73/199 
  Installing       : perl-Socket-4:2.037-3.fc39.x86_64                   74/199 
  Installing       : perl-SelectSaver-1.02-502.fc39.noarch               75/199 
  Installing       : perl-Symbol-1.09-502.fc39.noarch                    76/199 
  Installing       : perl-podlators-1:5.01-500.fc39.noarch               77/199 
  Installing       : perl-Pod-Perldoc-3.28.01-501.fc39.noarch            78/199 
  Installing       : perl-File-stat-1.13-502.fc39.noarch                 79/199 
  Installing       : perl-Text-ParseWords-3.31-500.fc39.noarch           80/199 
  Installing       : perl-Fcntl-1.15-502.fc39.x86_64                     81/199 
  Installing       : perl-mro-1.28-502.fc39.x86_64                       82/199 
  Installing       : perl-Pod-Usage-4:2.03-500.fc39.noarch               83/199 
  Installing       : perl-IO-1.52-502.fc39.x86_64                        84/199 
  Installing       : perl-overloading-0.02-502.fc39.noarch               85/199 
  Installing       : perl-MIME-Base64-3.16-500.fc39.x86_64               86/199 
  Installing       : perl-Scalar-List-Utils-5:1.63-500.fc39.x86_64       87/199 
  Installing       : perl-constant-1.33-501.fc39.noarch                  88/199 
  Installing       : perl-parent-1:0.241-500.fc39.noarch                 89/199 
  Installing       : perl-Errno-1.37-502.fc39.x86_64                     90/199 
  Installing       : perl-File-Basename-2.86-502.fc39.noarch             91/199 
  Installing       : perl-Getopt-Std-1.13-502.fc39.noarch                92/199 
  Installing       : perl-Storable-1:3.32-500.fc39.x86_64                93/199 
  Installing       : perl-Getopt-Long-1:2.54-500.fc39.noarch             94/199 
  Installing       : perl-overload-1.37-502.fc39.noarch                  95/199 
  Installing       : perl-vars-1.05-502.fc39.noarch                      96/199 
  Installing       : perl-Exporter-5.77-500.fc39.noarch                  97/199 
  Installing       : perl-PathTools-3.89-500.fc39.x86_64                 98/199 
  Installing       : perl-Encode-4:3.19-500.fc39.x86_64                  99/199 
  Installing       : perl-DynaLoader-1.54-502.fc39.x86_64               100/199 
  Installing       : perl-Carp-1.54-500.fc39.noarch                     101/199 
  Installing       : perl-libs-4:5.38.2-502.fc39.x86_64                 102/199 
  Installing       : perl-interpreter-4:5.38.2-502.fc39.x86_64          103/199 
  Installing       : perl-Error-1:0.17029-13.fc39.noarch                104/199 
  Installing       : perl-TermReadKey-2.38-18.fc39.x86_64               105/199 
  Installing       : perl-File-Find-1.43-502.fc39.noarch                106/199 
  Installing       : perl-lib-0.65-502.fc39.x86_64                      107/199 
  Installing       : google-noto-fonts-common-20240101-1.fc39.noarch    108/199 
  Installing       : google-noto-sans-vf-fonts-20240101-1.fc39.noarch   109/199 
  Installing       : default-fonts-core-sans-4.0-9.fc39.noarch          110/199 
  Installing       : google-droid-sans-fonts-20200215-17.fc39.noarch    111/199 
  Installing       : glibc-headers-x86-2.38-19.fc39.noarch              112/199 
  Installing       : glibc-devel-2.38-19.fc39.x86_64                    113/199 
  Installing       : libxcrypt-devel-4.4.36-2.fc39.x86_64               114/199 
  Installing       : annobin-docs-12.60-1.fc39.noarch                   115/199 
  Installing       : zlib-devel-1.2.13-4.fc39.x86_64                    116/199 
  Running scriptlet: xml-common-0.6.3-61.fc39.noarch                    117/199 
  Installing       : xml-common-0.6.3-61.fc39.noarch                    117/199 
  Installing       : tcl-1:8.6.12-5.fc39.x86_64                         118/199 
  Installing       : svt-av1-libs-1.4.1-3.fc39.x86_64                   119/199 
  Installing       : poppler-data-0.4.11-5.fc39.noarch                  120/199 
  Installing       : pixman-0.42.2-2.fc39.x86_64                        121/199 
  Installing       : nettle-3.9.1-2.fc39.x86_64                         122/199 
  Installing       : gnutls-3.8.6-1.fc39.x86_64                         123/199 
  Installing       : glib2-2.78.6-1.fc39.x86_64                         124/199 
  Installing       : shared-mime-info-2.2-4.fc39.x86_64                 125/199 
  Running scriptlet: shared-mime-info-2.2-4.fc39.x86_64                 125/199 
  Installing       : gdk-pixbuf2-2.42.10-5.fc39.x86_64                  126/199 
  Installing       : libjxl-1:0.8.3-1.fc39.x86_64                       127/199 
  Installing       : netpbm-11.02.00-2.fc39.x86_64                      128/199 
  Installing       : gts-0.7.6-46.20121130.fc39.x86_64                  129/199 
  Installing       : mpdecimal-2.5.1-7.fc39.x86_64                      130/199 
  Installing       : libvmaf-2.3.0-6.fc39.x86_64                        131/199 
  Installing       : libaom-3.9.0-1.fc39.x86_64                         132/199 
  Installing       : libpaper-1:2.1.1-1.fc39.x86_64                     133/199 
  Installing       : liblerc-4.0.0-4.fc39.x86_64                        134/199 
  Installing       : libijs-0.35-19.fc39.x86_64                         135/199 
  Installing       : libdav1d-1.2.1-2.fc39.x86_64                       136/199 
  Installing       : libavif-0.11.1-11.fc39.x86_64                      137/199 
  Installing       : libdatrie-0.2.13-7.fc39.x86_64                     138/199 
  Installing       : libthai-0.1.29-6.fc39.x86_64                       139/199 
  Installing       : libcbor-0.10.2-2.fc39.x86_64                       140/199 
  Installing       : libfido2-1.13.0-3.fc39.x86_64                      141/199 
  Installing       : openssh-clients-9.3p1-11.fc39.x86_64               142/199 
  Running scriptlet: openssh-clients-9.3p1-11.fc39.x86_64               142/199 
  Installing       : git-core-2.47.0-1.fc39.x86_64                      143/199 
  Installing       : git-core-doc-2.47.0-1.fc39.noarch                  144/199 
  Installing       : perl-Git-2.47.0-1.fc39.noarch                      145/199 
  Installing       : git-2.47.0-1.fc39.x86_64                           146/199 
  Installing       : libb2-0.98.1-9.fc39.x86_64                         147/199 
  Installing       : python3-3.12.7-1.fc39.x86_64                       148/199 
  Installing       : python3-libs-3.12.7-1.fc39.x86_64                  149/199 
  Installing       : crypto-policies-scripts-20231204-1.git1e3a2e4.fc   150/199 
  Installing       : nss-sysinit-3.105.0-1.fc39.x86_64                  151/199 
  Installing       : nss-3.105.0-1.fc39.x86_64                          152/199 
  Running scriptlet: nss-3.105.0-1.fc39.x86_64                          152/199 
  Installing       : libXau-1.0.11-3.fc39.x86_64                        153/199 
  Installing       : libxcb-1.13.1-12.fc39.x86_64                       154/199 
  Installing       : libX11-1.8.9-1.fc39.x86_64                         155/199 
  Installing       : libXrender-0.9.11-3.fc39.x86_64                    156/199 
  Installing       : libXext-1.3.5-3.fc39.x86_64                        157/199 
  Installing       : libXt-1.2.1-5.fc39.x86_64                          158/199 
  Installing       : libXpm-3.5.17-1.fc39.x86_64                        159/199 
  Installing       : jbigkit-libs-2.1-26.fc39.x86_64                    160/199 
  Installing       : libtiff-4.4.0-10.fc39.x86_64                       161/199 
  Installing       : jbig2dec-libs-0.19-10.fc39.x86_64                  162/199 
  Installing       : graphite2-1.3.14-12.fc39.x86_64                    163/199 
  Installing       : cairo-1.18.0-1.fc39.x86_64                         164/199 
  Installing       : harfbuzz-8.2.1-2.fc39.x86_64                       165/199 
  Installing       : freetype-2.13.1-2.fc39.x86_64                      166/199 
  Installing       : fontconfig-2.14.2-6.fc39.x86_64                    167/199 
  Running scriptlet: fontconfig-2.14.2-6.fc39.x86_64                    167/199 
  Installing       : cairo-gobject-1.18.0-1.fc39.x86_64                 168/199 
  Installing       : gd-2.3.3-12.fc39.x86_64                            169/199 
  Installing       : libXft-2.3.8-3.fc39.x86_64                         170/199 
  Installing       : poppler-23.08.0-1.fc39.x86_64                      171/199 
  Installing       : poppler-glib-23.08.0-1.fc39.x86_64                 172/199 
  Installing       : gc-8.2.2-4.fc39.x86_64                             173/199 
  Installing       : guile22-2.2.7-9.fc39.x86_64                        174/199 
  Installing       : make-1:4.4.1-2.fc39.x86_64                         175/199 
  Installing       : gcc-13.3.1-3.fc39.x86_64                           176/199 
  Running scriptlet: gcc-13.3.1-3.fc39.x86_64                           176/199 
  Installing       : fribidi-1.0.13-2.fc39.x86_64                       177/199 
  Installing       : pango-1.51.0-1.fc39.x86_64                         178/199 
  Installing       : librsvg2-2.57.1-2.fc39.x86_64                      179/199 
  Installing       : rsvg-pixbuf-loader-2.57.1-2.fc39.x86_64            180/199 
  Installing       : lasi-1.1.3-11.fc39.x86_64                          181/199 
  Installing       : dbus-libs-1:1.14.10-1.fc39.x86_64                  182/199 
  Installing       : avahi-libs-0.8-24.fc39.x86_64                      183/199 
  Installing       : cups-libs-1:2.4.11-1.fc39.x86_64                   184/199 
  Installing       : adobe-mappings-pdf-20190401-5.fc39.noarch          185/199 
  Installing       : libgs-10.02.1-7.fc39.x86_64                        186/199 
  Installing       : abc-libs-1.02-20241127.0.git14168eb5.fc39.x86_64   187/199 
  Installing       : abc-1.02-20241127.0.git14168eb5.fc39.x86_64        188/199 
  Installing       : graphviz-8.1.0-6.fc39.x86_64                       189/199 
  Running scriptlet: graphviz-8.1.0-6.fc39.x86_64                       189/199 
  Installing       : annobin-plugin-gcc-12.60-1.fc39.x86_64             190/199 
  Running scriptlet: annobin-plugin-gcc-12.60-1.fc39.x86_64             190/199 
  Installing       : gcc-c++-13.3.1-3.fc39.x86_64                       191/199 
  Installing       : gcc-plugin-annobin-13.3.1-3.fc39.x86_64            192/199 
  Running scriptlet: gcc-plugin-annobin-13.3.1-3.fc39.x86_64            192/199 
  Installing       : tcl-devel-1:8.6.12-5.fc39.x86_64                   193/199 
  Installing       : readline-devel-8.2-6.fc39.x86_64                   194/199 
  Installing       : bison-3.8.2-5.fc39.x86_64                          195/199 
  Installing       : flex-2.6.4-13.fc39.x86_64                          196/199 
  Installing       : txt2man-1.7.1-5.fc39.noarch                        197/199 
  Installing       : libffi-devel-3.4.4-4.fc39.x86_64                   198/199 
  Installing       : iverilog-13.0-20241127.0.gitb7451197.fc39.x86_64   199/199 
  Running scriptlet: urw-base35-bookman-fonts-20200910-20.fc39.noarch   199/199 
  Running scriptlet: urw-base35-c059-fonts-20200910-20.fc39.noarch      199/199 
  Running scriptlet: urw-base35-d050000l-fonts-20200910-20.fc39.noarc   199/199 
  Running scriptlet: urw-base35-gothic-fonts-20200910-20.fc39.noarch    199/199 
  Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-20.fc39   199/199 
  Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-20.fc39.n   199/199 
  Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-20.fc39.no   199/199 
  Running scriptlet: urw-base35-p052-fonts-20200910-20.fc39.noarch      199/199 
  Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910-20   199/199 
  Running scriptlet: urw-base35-z003-fonts-20200910-20.fc39.noarch      199/199 
  Running scriptlet: crypto-policies-scripts-20231204-1.git1e3a2e4.fc   199/199 
  Running scriptlet: nss-3.105.0-1.fc39.x86_64                          199/199 
  Running scriptlet: fontconfig-2.14.2-6.fc39.x86_64                    199/199 
  Running scriptlet: iverilog-13.0-20241127.0.gitb7451197.fc39.x86_64   199/199 
  Verifying        : abc-1.02-20241127.0.git14168eb5.fc39.x86_64          1/199 
  Verifying        : abc-libs-1.02-20241127.0.git14168eb5.fc39.x86_64     2/199 
  Verifying        : iverilog-13.0-20241127.0.gitb7451197.fc39.x86_64     3/199 
  Verifying        : abattis-cantarell-vf-fonts-0.301-10.fc39.noarch      4/199 
  Verifying        : adobe-mappings-pdf-20190401-5.fc39.noarch            5/199 
  Verifying        : avahi-libs-0.8-24.fc39.x86_64                        6/199 
  Verifying        : bison-3.8.2-5.fc39.x86_64                            7/199 
  Verifying        : cairo-1.18.0-1.fc39.x86_64                           8/199 
  Verifying        : cairo-gobject-1.18.0-1.fc39.x86_64                   9/199 
  Verifying        : dbus-libs-1:1.14.10-1.fc39.x86_64                   10/199 
  Verifying        : default-fonts-core-sans-4.0-9.fc39.noarch           11/199 
  Verifying        : flex-2.6.4-13.fc39.x86_64                           12/199 
  Verifying        : fonts-filesystem-1:2.0.5-12.fc39.noarch             13/199 
  Verifying        : freetype-2.13.1-2.fc39.x86_64                       14/199 
  Verifying        : fribidi-1.0.13-2.fc39.x86_64                        15/199 
  Verifying        : gc-8.2.2-4.fc39.x86_64                              16/199 
  Verifying        : gd-2.3.3-12.fc39.x86_64                             17/199 
  Verifying        : gdk-pixbuf2-2.42.10-5.fc39.x86_64                   18/199 
  Verifying        : google-droid-sans-fonts-20200215-17.fc39.noarch     19/199 
  Verifying        : graphite2-1.3.14-12.fc39.x86_64                     20/199 
  Verifying        : gts-0.7.6-46.20121130.fc39.x86_64                   21/199 
  Verifying        : guile22-2.2.7-9.fc39.x86_64                         22/199 
  Verifying        : harfbuzz-8.2.1-2.fc39.x86_64                        23/199 
  Verifying        : jbig2dec-libs-0.19-10.fc39.x86_64                   24/199 
  Verifying        : jbigkit-libs-2.1-26.fc39.x86_64                     25/199 
  Verifying        : lasi-1.1.3-11.fc39.x86_64                           26/199 
  Verifying        : lcms2-2.15-2.fc39.x86_64                            27/199 
  Verifying        : libICE-1.0.10-11.fc39.x86_64                        28/199 
  Verifying        : libSM-1.2.3-13.fc39.x86_64                          29/199 
  Verifying        : libXau-1.0.11-3.fc39.x86_64                         30/199 
  Verifying        : libXext-1.3.5-3.fc39.x86_64                         31/199 
  Verifying        : libXft-2.3.8-3.fc39.x86_64                          32/199 
  Verifying        : libXrender-0.9.11-3.fc39.x86_64                     33/199 
  Verifying        : libXt-1.2.1-5.fc39.x86_64                           34/199 
  Verifying        : libavif-0.11.1-11.fc39.x86_64                       35/199 
  Verifying        : libb2-0.98.1-9.fc39.x86_64                          36/199 
  Verifying        : libcbor-0.10.2-2.fc39.x86_64                        37/199 
  Verifying        : libdatrie-0.2.13-7.fc39.x86_64                      38/199 
  Verifying        : libdav1d-1.2.1-2.fc39.x86_64                        39/199 
  Verifying        : libffi-devel-3.4.4-4.fc39.x86_64                    40/199 
  Verifying        : libfido2-1.13.0-3.fc39.x86_64                       41/199 
  Verifying        : libijs-0.35-19.fc39.x86_64                          42/199 
  Verifying        : libjpeg-turbo-2.1.4-3.fc39.x86_64                   43/199 
  Verifying        : liblerc-4.0.0-4.fc39.x86_64                         44/199 
  Verifying        : libmpc-1.3.1-3.fc39.x86_64                          45/199 
  Verifying        : libpaper-1:2.1.1-1.fc39.x86_64                      46/199 
  Verifying        : libpng-2:1.6.37-15.fc39.x86_64                      47/199 
  Verifying        : libthai-0.1.29-6.fc39.x86_64                        48/199 
  Verifying        : libvmaf-2.3.0-6.fc39.x86_64                         49/199 
  Verifying        : libwebp-1.3.2-2.fc39.x86_64                         50/199 
  Verifying        : libxcb-1.13.1-12.fc39.x86_64                        51/199 
  Verifying        : libxcrypt-devel-4.4.36-2.fc39.x86_64                52/199 
  Verifying        : m4-1.4.19-6.fc39.x86_64                             53/199 
  Verifying        : make-1:4.4.1-2.fc39.x86_64                          54/199 
  Verifying        : mpdecimal-2.5.1-7.fc39.x86_64                       55/199 
  Verifying        : netpbm-11.02.00-2.fc39.x86_64                       56/199 
  Verifying        : nettle-3.9.1-2.fc39.x86_64                          57/199 
  Verifying        : pango-1.51.0-1.fc39.x86_64                          58/199 
  Verifying        : perl-Carp-1.54-500.fc39.noarch                      59/199 
  Verifying        : perl-Data-Dumper-2.188-501.fc39.x86_64              60/199 
  Verifying        : perl-Digest-1.20-500.fc39.noarch                    61/199 
  Verifying        : perl-Digest-MD5-2.58-500.fc39.x86_64                62/199 
  Verifying        : perl-Encode-4:3.19-500.fc39.x86_64                  63/199 
  Verifying        : perl-Error-1:0.17029-13.fc39.noarch                 64/199 
  Verifying        : perl-Exporter-5.77-500.fc39.noarch                  65/199 
  Verifying        : perl-File-Path-2.18-500.fc39.noarch                 66/199 
  Verifying        : perl-File-Temp-1:0.231.100-500.fc39.noarch          67/199 
  Verifying        : perl-Getopt-Long-1:2.54-500.fc39.noarch             68/199 
  Verifying        : perl-HTTP-Tiny-0.088-3.fc39.noarch                  69/199 
  Verifying        : perl-IO-Socket-IP-0.42-1.fc39.noarch                70/199 
  Verifying        : perl-IO-Socket-SSL-2.083-3.fc39.noarch              71/199 
  Verifying        : perl-MIME-Base64-3.16-500.fc39.x86_64               72/199 
  Verifying        : perl-Mozilla-CA-20230801-1.fc39.noarch              73/199 
  Verifying        : perl-Net-SSLeay-1.92-10.fc39.x86_64                 74/199 
  Verifying        : perl-PathTools-3.89-500.fc39.x86_64                 75/199 
  Verifying        : perl-Pod-Escapes-1:1.07-500.fc39.noarch             76/199 
  Verifying        : perl-Pod-Perldoc-3.28.01-501.fc39.noarch            77/199 
  Verifying        : perl-Pod-Simple-1:3.45-4.fc39.noarch                78/199 
  Verifying        : perl-Pod-Usage-4:2.03-500.fc39.noarch               79/199 
  Verifying        : perl-Scalar-List-Utils-5:1.63-500.fc39.x86_64       80/199 
  Verifying        : perl-Socket-4:2.037-3.fc39.x86_64                   81/199 
  Verifying        : perl-Storable-1:3.32-500.fc39.x86_64                82/199 
  Verifying        : perl-Term-ANSIColor-5.01-501.fc39.noarch            83/199 
  Verifying        : perl-Term-Cap-1.18-500.fc39.noarch                  84/199 
  Verifying        : perl-TermReadKey-2.38-18.fc39.x86_64                85/199 
  Verifying        : perl-Text-ParseWords-3.31-500.fc39.noarch           86/199 
  Verifying        : perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch         87/199 
  Verifying        : perl-Time-Local-2:1.350-3.fc39.noarch               88/199 
  Verifying        : perl-URI-5.21-1.fc39.noarch                         89/199 
  Verifying        : perl-constant-1.33-501.fc39.noarch                  90/199 
  Verifying        : perl-libnet-3.15-501.fc39.noarch                    91/199 
  Verifying        : perl-parent-1:0.241-500.fc39.noarch                 92/199 
  Verifying        : perl-podlators-1:5.01-500.fc39.noarch               93/199 
  Verifying        : pixman-0.42.2-2.fc39.x86_64                         94/199 
  Verifying        : poppler-23.08.0-1.fc39.x86_64                       95/199 
  Verifying        : poppler-data-0.4.11-5.fc39.noarch                   96/199 
  Verifying        : poppler-glib-23.08.0-1.fc39.x86_64                  97/199 
  Verifying        : shared-mime-info-2.2-4.fc39.x86_64                  98/199 
  Verifying        : svt-av1-libs-1.4.1-3.fc39.x86_64                    99/199 
  Verifying        : tcl-1:8.6.12-5.fc39.x86_64                         100/199 
  Verifying        : tcl-devel-1:8.6.12-5.fc39.x86_64                   101/199 
  Verifying        : txt2man-1.7.1-5.fc39.noarch                        102/199 
  Verifying        : xml-common-0.6.3-61.fc39.noarch                    103/199 
  Verifying        : zlib-devel-1.2.13-4.fc39.x86_64                    104/199 
  Verifying        : adobe-mappings-cmap-20231115-1.fc39.noarch         105/199 
  Verifying        : adobe-mappings-cmap-deprecated-20231115-1.fc39.n   106/199 
  Verifying        : annobin-docs-12.60-1.fc39.noarch                   107/199 
  Verifying        : annobin-plugin-gcc-12.60-1.fc39.x86_64             108/199 
  Verifying        : cpp-13.3.1-3.fc39.x86_64                           109/199 
  Verifying        : crypto-policies-scripts-20231204-1.git1e3a2e4.fc   110/199 
  Verifying        : cups-libs-1:2.4.11-1.fc39.x86_64                   111/199 
  Verifying        : expat-2.6.3-1.fc39.x86_64                          112/199 
  Verifying        : fontconfig-2.14.2-6.fc39.x86_64                    113/199 
  Verifying        : gcc-13.3.1-3.fc39.x86_64                           114/199 
  Verifying        : gcc-c++-13.3.1-3.fc39.x86_64                       115/199 
  Verifying        : gcc-plugin-annobin-13.3.1-3.fc39.x86_64            116/199 
  Verifying        : git-2.47.0-1.fc39.x86_64                           117/199 
  Verifying        : git-core-2.47.0-1.fc39.x86_64                      118/199 
  Verifying        : git-core-doc-2.47.0-1.fc39.noarch                  119/199 
  Verifying        : glib2-2.78.6-1.fc39.x86_64                         120/199 
  Verifying        : glibc-devel-2.38-19.fc39.x86_64                    121/199 
  Verifying        : glibc-headers-x86-2.38-19.fc39.noarch              122/199 
  Verifying        : gnutls-3.8.6-1.fc39.x86_64                         123/199 
  Verifying        : google-noto-fonts-common-20240101-1.fc39.noarch    124/199 
  Verifying        : google-noto-sans-vf-fonts-20240101-1.fc39.noarch   125/199 
  Verifying        : graphviz-8.1.0-6.fc39.x86_64                       126/199 
  Verifying        : groff-base-1.23.0-3.fc39.x86_64                    127/199 
  Verifying        : highway-1.1.0-1.fc39.x86_64                        128/199 
  Verifying        : kernel-headers-6.11.3-100.fc39.x86_64              129/199 
  Verifying        : less-633-4.fc39.x86_64                             130/199 
  Verifying        : libX11-1.8.9-1.fc39.x86_64                         131/199 
  Verifying        : libX11-common-1.8.9-1.fc39.noarch                  132/199 
  Verifying        : libXpm-3.5.17-1.fc39.x86_64                        133/199 
  Verifying        : libaom-3.9.0-1.fc39.x86_64                         134/199 
  Verifying        : libedit-3.1-53.20240808cvs.fc39.x86_64             135/199 
  Verifying        : libgs-10.02.1-7.fc39.x86_64                        136/199 
  Verifying        : libimagequant-4.0.3-5.fc39.x86_64                  137/199 
  Verifying        : libjxl-1:0.8.3-1.fc39.x86_64                       138/199 
  Verifying        : librsvg2-2.57.1-2.fc39.x86_64                      139/199 
  Verifying        : libstdc++-devel-13.3.1-3.fc39.x86_64               140/199 
  Verifying        : libtiff-4.4.0-10.fc39.x86_64                       141/199 
  Verifying        : ncurses-6.4-7.20230520.fc39.1.x86_64               142/199 
  Verifying        : ncurses-c++-libs-6.4-7.20230520.fc39.1.x86_64      143/199 
  Verifying        : ncurses-devel-6.4-7.20230520.fc39.1.x86_64         144/199 
  Verifying        : nspr-4.35.0-24.fc39.x86_64                         145/199 
  Verifying        : nss-3.105.0-1.fc39.x86_64                          146/199 
  Verifying        : nss-softokn-3.105.0-1.fc39.x86_64                  147/199 
  Verifying        : nss-softokn-freebl-3.105.0-1.fc39.x86_64           148/199 
  Verifying        : nss-sysinit-3.105.0-1.fc39.x86_64                  149/199 
  Verifying        : nss-util-3.105.0-1.fc39.x86_64                     150/199 
  Verifying        : openjpeg2-2.5.2-1.fc39.x86_64                      151/199 
  Verifying        : openssh-9.3p1-11.fc39.x86_64                       152/199 
  Verifying        : openssh-clients-9.3p1-11.fc39.x86_64               153/199 
  Verifying        : perl-AutoLoader-5.74-502.fc39.noarch               154/199 
  Verifying        : perl-B-1.88-502.fc39.x86_64                        155/199 
  Verifying        : perl-Class-Struct-0.68-502.fc39.noarch             156/199 
  Verifying        : perl-DynaLoader-1.54-502.fc39.x86_64               157/199 
  Verifying        : perl-Errno-1.37-502.fc39.x86_64                    158/199 
  Verifying        : perl-Fcntl-1.15-502.fc39.x86_64                    159/199 
  Verifying        : perl-File-Basename-2.86-502.fc39.noarch            160/199 
  Verifying        : perl-File-Find-1.43-502.fc39.noarch                161/199 
  Verifying        : perl-File-stat-1.13-502.fc39.noarch                162/199 
  Verifying        : perl-FileHandle-2.05-502.fc39.noarch               163/199 
  Verifying        : perl-Getopt-Std-1.13-502.fc39.noarch               164/199 
  Verifying        : perl-Git-2.47.0-1.fc39.noarch                      165/199 
  Verifying        : perl-IO-1.52-502.fc39.x86_64                       166/199 
  Verifying        : perl-IPC-Open3-1.22-502.fc39.noarch                167/199 
  Verifying        : perl-POSIX-2.13-502.fc39.x86_64                    168/199 
  Verifying        : perl-SelectSaver-1.02-502.fc39.noarch              169/199 
  Verifying        : perl-Symbol-1.09-502.fc39.noarch                   170/199 
  Verifying        : perl-base-2.27-502.fc39.noarch                     171/199 
  Verifying        : perl-if-0.61.000-502.fc39.noarch                   172/199 
  Verifying        : perl-interpreter-4:5.38.2-502.fc39.x86_64          173/199 
  Verifying        : perl-lib-0.65-502.fc39.x86_64                      174/199 
  Verifying        : perl-libs-4:5.38.2-502.fc39.x86_64                 175/199 
  Verifying        : perl-locale-1.10-502.fc39.noarch                   176/199 
  Verifying        : perl-mro-1.28-502.fc39.x86_64                      177/199 
  Verifying        : perl-overload-1.37-502.fc39.noarch                 178/199 
  Verifying        : perl-overloading-0.02-502.fc39.noarch              179/199 
  Verifying        : perl-vars-1.05-502.fc39.noarch                     180/199 
  Verifying        : python-pip-wheel-23.2.1-2.fc39.noarch              181/199 
  Verifying        : python3-3.12.7-1.fc39.x86_64                       182/199 
  Verifying        : python3-libs-3.12.7-1.fc39.x86_64                  183/199 
  Verifying        : rav1e-libs-0.7.1-4.fc39.x86_64                     184/199 
  Verifying        : readline-devel-8.2-6.fc39.x86_64                   185/199 
  Verifying        : rsvg-pixbuf-loader-2.57.1-2.fc39.x86_64            186/199 
  Verifying        : tzdata-2024a-2.fc39.noarch                         187/199 
  Verifying        : urw-base35-bookman-fonts-20200910-20.fc39.noarch   188/199 
  Verifying        : urw-base35-c059-fonts-20200910-20.fc39.noarch      189/199 
  Verifying        : urw-base35-d050000l-fonts-20200910-20.fc39.noarc   190/199 
  Verifying        : urw-base35-fonts-20200910-20.fc39.noarch           191/199 
  Verifying        : urw-base35-fonts-common-20200910-20.fc39.noarch    192/199 
  Verifying        : urw-base35-gothic-fonts-20200910-20.fc39.noarch    193/199 
  Verifying        : urw-base35-nimbus-mono-ps-fonts-20200910-20.fc39   194/199 
  Verifying        : urw-base35-nimbus-roman-fonts-20200910-20.fc39.n   195/199 
  Verifying        : urw-base35-nimbus-sans-fonts-20200910-20.fc39.no   196/199 
  Verifying        : urw-base35-p052-fonts-20200910-20.fc39.noarch      197/199 
  Verifying        : urw-base35-standard-symbols-ps-fonts-20200910-20   198/199 
  Verifying        : urw-base35-z003-fonts-20200910-20.fc39.noarch      199/199 

Installed:
  abattis-cantarell-vf-fonts-0.301-10.fc39.noarch                               
  abc-1.02-20241127.0.git14168eb5.fc39.x86_64                                   
  abc-libs-1.02-20241127.0.git14168eb5.fc39.x86_64                              
  adobe-mappings-cmap-20231115-1.fc39.noarch                                    
  adobe-mappings-cmap-deprecated-20231115-1.fc39.noarch                         
  adobe-mappings-pdf-20190401-5.fc39.noarch                                     
  annobin-docs-12.60-1.fc39.noarch                                              
  annobin-plugin-gcc-12.60-1.fc39.x86_64                                        
  avahi-libs-0.8-24.fc39.x86_64                                                 
  bison-3.8.2-5.fc39.x86_64                                                     
  cairo-1.18.0-1.fc39.x86_64                                                    
  cairo-gobject-1.18.0-1.fc39.x86_64                                            
  cpp-13.3.1-3.fc39.x86_64                                                      
  crypto-policies-scripts-20231204-1.git1e3a2e4.fc39.noarch                     
  cups-libs-1:2.4.11-1.fc39.x86_64                                              
  dbus-libs-1:1.14.10-1.fc39.x86_64                                             
  default-fonts-core-sans-4.0-9.fc39.noarch                                     
  expat-2.6.3-1.fc39.x86_64                                                     
  flex-2.6.4-13.fc39.x86_64                                                     
  fontconfig-2.14.2-6.fc39.x86_64                                               
  fonts-filesystem-1:2.0.5-12.fc39.noarch                                       
  freetype-2.13.1-2.fc39.x86_64                                                 
  fribidi-1.0.13-2.fc39.x86_64                                                  
  gc-8.2.2-4.fc39.x86_64                                                        
  gcc-13.3.1-3.fc39.x86_64                                                      
  gcc-c++-13.3.1-3.fc39.x86_64                                                  
  gcc-plugin-annobin-13.3.1-3.fc39.x86_64                                       
  gd-2.3.3-12.fc39.x86_64                                                       
  gdk-pixbuf2-2.42.10-5.fc39.x86_64                                             
  git-2.47.0-1.fc39.x86_64                                                      
  git-core-2.47.0-1.fc39.x86_64                                                 
  git-core-doc-2.47.0-1.fc39.noarch                                             
  glib2-2.78.6-1.fc39.x86_64                                                    
  glibc-devel-2.38-19.fc39.x86_64                                               
  glibc-headers-x86-2.38-19.fc39.noarch                                         
  gnutls-3.8.6-1.fc39.x86_64                                                    
  google-droid-sans-fonts-20200215-17.fc39.noarch                               
  google-noto-fonts-common-20240101-1.fc39.noarch                               
  google-noto-sans-vf-fonts-20240101-1.fc39.noarch                              
  graphite2-1.3.14-12.fc39.x86_64                                               
  graphviz-8.1.0-6.fc39.x86_64                                                  
  groff-base-1.23.0-3.fc39.x86_64                                               
  gts-0.7.6-46.20121130.fc39.x86_64                                             
  guile22-2.2.7-9.fc39.x86_64                                                   
  harfbuzz-8.2.1-2.fc39.x86_64                                                  
  highway-1.1.0-1.fc39.x86_64                                                   
  iverilog-13.0-20241127.0.gitb7451197.fc39.x86_64                              
  jbig2dec-libs-0.19-10.fc39.x86_64                                             
  jbigkit-libs-2.1-26.fc39.x86_64                                               
  kernel-headers-6.11.3-100.fc39.x86_64                                         
  lasi-1.1.3-11.fc39.x86_64                                                     
  lcms2-2.15-2.fc39.x86_64                                                      
  less-633-4.fc39.x86_64                                                        
  libICE-1.0.10-11.fc39.x86_64                                                  
  libSM-1.2.3-13.fc39.x86_64                                                    
  libX11-1.8.9-1.fc39.x86_64                                                    
  libX11-common-1.8.9-1.fc39.noarch                                             
  libXau-1.0.11-3.fc39.x86_64                                                   
  libXext-1.3.5-3.fc39.x86_64                                                   
  libXft-2.3.8-3.fc39.x86_64                                                    
  libXpm-3.5.17-1.fc39.x86_64                                                   
  libXrender-0.9.11-3.fc39.x86_64                                               
  libXt-1.2.1-5.fc39.x86_64                                                     
  libaom-3.9.0-1.fc39.x86_64                                                    
  libavif-0.11.1-11.fc39.x86_64                                                 
  libb2-0.98.1-9.fc39.x86_64                                                    
  libcbor-0.10.2-2.fc39.x86_64                                                  
  libdatrie-0.2.13-7.fc39.x86_64                                                
  libdav1d-1.2.1-2.fc39.x86_64                                                  
  libedit-3.1-53.20240808cvs.fc39.x86_64                                        
  libffi-devel-3.4.4-4.fc39.x86_64                                              
  libfido2-1.13.0-3.fc39.x86_64                                                 
  libgs-10.02.1-7.fc39.x86_64                                                   
  libijs-0.35-19.fc39.x86_64                                                    
  libimagequant-4.0.3-5.fc39.x86_64                                             
  libjpeg-turbo-2.1.4-3.fc39.x86_64                                             
  libjxl-1:0.8.3-1.fc39.x86_64                                                  
  liblerc-4.0.0-4.fc39.x86_64                                                   
  libmpc-1.3.1-3.fc39.x86_64                                                    
  libpaper-1:2.1.1-1.fc39.x86_64                                                
  libpng-2:1.6.37-15.fc39.x86_64                                                
  librsvg2-2.57.1-2.fc39.x86_64                                                 
  libstdc++-devel-13.3.1-3.fc39.x86_64                                          
  libthai-0.1.29-6.fc39.x86_64                                                  
  libtiff-4.4.0-10.fc39.x86_64                                                  
  libvmaf-2.3.0-6.fc39.x86_64                                                   
  libwebp-1.3.2-2.fc39.x86_64                                                   
  libxcb-1.13.1-12.fc39.x86_64                                                  
  libxcrypt-devel-4.4.36-2.fc39.x86_64                                          
  m4-1.4.19-6.fc39.x86_64                                                       
  make-1:4.4.1-2.fc39.x86_64                                                    
  mpdecimal-2.5.1-7.fc39.x86_64                                                 
  ncurses-6.4-7.20230520.fc39.1.x86_64                                          
  ncurses-c++-libs-6.4-7.20230520.fc39.1.x86_64                                 
  ncurses-devel-6.4-7.20230520.fc39.1.x86_64                                    
  netpbm-11.02.00-2.fc39.x86_64                                                 
  nettle-3.9.1-2.fc39.x86_64                                                    
  nspr-4.35.0-24.fc39.x86_64                                                    
  nss-3.105.0-1.fc39.x86_64                                                     
  nss-softokn-3.105.0-1.fc39.x86_64                                             
  nss-softokn-freebl-3.105.0-1.fc39.x86_64                                      
  nss-sysinit-3.105.0-1.fc39.x86_64                                             
  nss-util-3.105.0-1.fc39.x86_64                                                
  openjpeg2-2.5.2-1.fc39.x86_64                                                 
  openssh-9.3p1-11.fc39.x86_64                                                  
  openssh-clients-9.3p1-11.fc39.x86_64                                          
  pango-1.51.0-1.fc39.x86_64                                                    
  perl-AutoLoader-5.74-502.fc39.noarch                                          
  perl-B-1.88-502.fc39.x86_64                                                   
  perl-Carp-1.54-500.fc39.noarch                                                
  perl-Class-Struct-0.68-502.fc39.noarch                                        
  perl-Data-Dumper-2.188-501.fc39.x86_64                                        
  perl-Digest-1.20-500.fc39.noarch                                              
  perl-Digest-MD5-2.58-500.fc39.x86_64                                          
  perl-DynaLoader-1.54-502.fc39.x86_64                                          
  perl-Encode-4:3.19-500.fc39.x86_64                                            
  perl-Errno-1.37-502.fc39.x86_64                                               
  perl-Error-1:0.17029-13.fc39.noarch                                           
  perl-Exporter-5.77-500.fc39.noarch                                            
  perl-Fcntl-1.15-502.fc39.x86_64                                               
  perl-File-Basename-2.86-502.fc39.noarch                                       
  perl-File-Find-1.43-502.fc39.noarch                                           
  perl-File-Path-2.18-500.fc39.noarch                                           
  perl-File-Temp-1:0.231.100-500.fc39.noarch                                    
  perl-File-stat-1.13-502.fc39.noarch                                           
  perl-FileHandle-2.05-502.fc39.noarch                                          
  perl-Getopt-Long-1:2.54-500.fc39.noarch                                       
  perl-Getopt-Std-1.13-502.fc39.noarch                                          
  perl-Git-2.47.0-1.fc39.noarch                                                 
  perl-HTTP-Tiny-0.088-3.fc39.noarch                                            
  perl-IO-1.52-502.fc39.x86_64                                                  
  perl-IO-Socket-IP-0.42-1.fc39.noarch                                          
  perl-IO-Socket-SSL-2.083-3.fc39.noarch                                        
  perl-IPC-Open3-1.22-502.fc39.noarch                                           
  perl-MIME-Base64-3.16-500.fc39.x86_64                                         
  perl-Mozilla-CA-20230801-1.fc39.noarch                                        
  perl-Net-SSLeay-1.92-10.fc39.x86_64                                           
  perl-POSIX-2.13-502.fc39.x86_64                                               
  perl-PathTools-3.89-500.fc39.x86_64                                           
  perl-Pod-Escapes-1:1.07-500.fc39.noarch                                       
  perl-Pod-Perldoc-3.28.01-501.fc39.noarch                                      
  perl-Pod-Simple-1:3.45-4.fc39.noarch                                          
  perl-Pod-Usage-4:2.03-500.fc39.noarch                                         
  perl-Scalar-List-Utils-5:1.63-500.fc39.x86_64                                 
  perl-SelectSaver-1.02-502.fc39.noarch                                         
  perl-Socket-4:2.037-3.fc39.x86_64                                             
  perl-Storable-1:3.32-500.fc39.x86_64                                          
  perl-Symbol-1.09-502.fc39.noarch                                              
  perl-Term-ANSIColor-5.01-501.fc39.noarch                                      
  perl-Term-Cap-1.18-500.fc39.noarch                                            
  perl-TermReadKey-2.38-18.fc39.x86_64                                          
  perl-Text-ParseWords-3.31-500.fc39.noarch                                     
  perl-Text-Tabs+Wrap-2023.0511-3.fc39.noarch                                   
  perl-Time-Local-2:1.350-3.fc39.noarch                                         
  perl-URI-5.21-1.fc39.noarch                                                   
  perl-base-2.27-502.fc39.noarch                                                
  perl-constant-1.33-501.fc39.noarch                                            
  perl-if-0.61.000-502.fc39.noarch                                              
  perl-interpreter-4:5.38.2-502.fc39.x86_64                                     
  perl-lib-0.65-502.fc39.x86_64                                                 
  perl-libnet-3.15-501.fc39.noarch                                              
  perl-libs-4:5.38.2-502.fc39.x86_64                                            
  perl-locale-1.10-502.fc39.noarch                                              
  perl-mro-1.28-502.fc39.x86_64                                                 
  perl-overload-1.37-502.fc39.noarch                                            
  perl-overloading-0.02-502.fc39.noarch                                         
  perl-parent-1:0.241-500.fc39.noarch                                           
  perl-podlators-1:5.01-500.fc39.noarch                                         
  perl-vars-1.05-502.fc39.noarch                                                
  pixman-0.42.2-2.fc39.x86_64                                                   
  poppler-23.08.0-1.fc39.x86_64                                                 
  poppler-data-0.4.11-5.fc39.noarch                                             
  poppler-glib-23.08.0-1.fc39.x86_64                                            
  python-pip-wheel-23.2.1-2.fc39.noarch                                         
  python3-3.12.7-1.fc39.x86_64                                                  
  python3-libs-3.12.7-1.fc39.x86_64                                             
  rav1e-libs-0.7.1-4.fc39.x86_64                                                
  readline-devel-8.2-6.fc39.x86_64                                              
  rsvg-pixbuf-loader-2.57.1-2.fc39.x86_64                                       
  shared-mime-info-2.2-4.fc39.x86_64                                            
  svt-av1-libs-1.4.1-3.fc39.x86_64                                              
  tcl-1:8.6.12-5.fc39.x86_64                                                    
  tcl-devel-1:8.6.12-5.fc39.x86_64                                              
  txt2man-1.7.1-5.fc39.noarch                                                   
  tzdata-2024a-2.fc39.noarch                                                    
  urw-base35-bookman-fonts-20200910-20.fc39.noarch                              
  urw-base35-c059-fonts-20200910-20.fc39.noarch                                 
  urw-base35-d050000l-fonts-20200910-20.fc39.noarch                             
  urw-base35-fonts-20200910-20.fc39.noarch                                      
  urw-base35-fonts-common-20200910-20.fc39.noarch                               
  urw-base35-gothic-fonts-20200910-20.fc39.noarch                               
  urw-base35-nimbus-mono-ps-fonts-20200910-20.fc39.noarch                       
  urw-base35-nimbus-roman-fonts-20200910-20.fc39.noarch                         
  urw-base35-nimbus-sans-fonts-20200910-20.fc39.noarch                          
  urw-base35-p052-fonts-20200910-20.fc39.noarch                                 
  urw-base35-standard-symbols-ps-fonts-20200910-20.fc39.noarch                  
  urw-base35-z003-fonts-20200910-20.fc39.noarch                                 
  xml-common-0.6.3-61.fc39.noarch                                               
  zlib-devel-1.2.13-4.fc39.x86_64                                               

Complete!
Finish: build setup for yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Start: rpmbuild yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Building target platforms: x86_64
Building for target x86_64
setting SOURCE_DATE_EPOCH=1612569600
Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.2LYSzs
+ umask 022
+ cd /builddir/build/BUILD
+ cd /builddir/build/BUILD
+ rm -rf yosys
+ /usr/bin/mkdir -p yosys
+ cd yosys
+ rm -rf /builddir/build/BUILD/yosys-SPECPARTS
+ /usr/bin/mkdir -p /builddir/build/BUILD/yosys-SPECPARTS
+ /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w .
+ git clone --depth 1 -n -b main https://github.com/YosysHQ/yosys.git .
Cloning into '.'...
+ git fetch --depth 1 origin 889894a6d2c7da0b10f88c3bef8ebd351236ba7e
From https://github.com/YosysHQ/yosys
 * branch            889894a6d2c7da0b10f88c3bef8ebd351236ba7e -> FETCH_HEAD
+ git reset --hard 889894a6d2c7da0b10f88c3bef8ebd351236ba7e
HEAD is now at 889894a Merge pull request #4790 from YosysHQ/emil/clockgate-warnings
+ git log --format=fuller
commit 889894a6d2c7da0b10f88c3bef8ebd351236ba7e
Author:     KrystalDelusion <93062060+KrystalDelusion@users.noreply.github.com>
AuthorDate: Tue Dec 3 13:25:52 2024 +1300
Commit:     GitHub <noreply@github.com>
CommitDate: Tue Dec 3 13:25:52 2024 +1300

    Merge pull request #4790 from YosysHQ/emil/clockgate-warnings
    
    clockgate: reduce build warnings
+ git submodule update --init --depth 1 libs/cxxopts
Submodule 'cxxopts' (https://github.com/jarro2783/cxxopts) registered for path 'libs/cxxopts'
Cloning into '/builddir/build/BUILD/yosys/libs/cxxopts'...
From https://github.com/jarro2783/cxxopts
 * branch            4bf61f08697b110d9e3991864650a405b3dd515d -> FETCH_HEAD
Submodule path 'libs/cxxopts': checked out '4bf61f08697b110d9e3991864650a405b3dd515d'
Patch #1 (yosys-cfginc.patch):
+ echo 'Patch #1 (yosys-cfginc.patch):'
+ /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=100
patching file Makefile
Hunk #1 succeeded at 787 with fuzz 3 (offset -3 lines).
+ find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' +
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.bYj6hr
+ umask 022
+ cd /builddir/build/BUILD
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn'
+ export RUSTFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes '
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ cd yosys
+ make config-gcc
rm -rf share
rm -rf kernel/*.pyh
rm -f kernel/version_889894a6d.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/bitpattern.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/drivertools.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sexpr.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/choices/han-carlson.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/microchip/arith_map.v share/microchip/cells_map.v share/microchip/cells_sim.v share/microchip/polarfire_dsp_map.v share/microchip/brams_defs.vh share/microchip/LSRAM_map.v share/microchip/LSRAM.txt share/microchip/uSRAM_map.v share/microchip/uSRAM.txt share/nanoxplore/arith_map.v share/nanoxplore/brams_init.vh share/nanoxplore/brams_map.v share/nanoxplore/brams.txt share/nanoxplore/cells_bb.v share/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_u.v share/nanoxplore/cells_map.v share/nanoxplore/cells_sim.v share/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_u.v share/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_u.v share/nanoxplore/io_map.v share/nanoxplore/latches_map.v share/nanoxplore/rf_init.vh share/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_u.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/quicklogic/ql_dsp_macc_pm.h  .cc
rm -f kernel/version_*.o kernel/version_*.cc
rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d
rm -rf tests/asicworld/*.out tests/asicworld/*.log
rm -rf tests/hana/*.out tests/hana/*.log
rm -rf tests/simple/*.out tests/simple/*.log
rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp
rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log
rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp
rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_*
rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff
rm -f  tests/tools/cmp_tbdata
make -C docs clean
make[1]: Entering directory '/builddir/build/BUILD/yosys/docs'
make -C source/code_examples/extensions clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions'
rm -f *.d *.so *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/extensions'
make -C source/code_examples/fifo clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo'
rm -f *.dot
rm -f fifo.out fifo.stat
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/fifo'
make -C source/code_examples/intro clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/intro'
make -C source/code_examples/macc clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/macc'
make -C source/code_examples/opt clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/opt'
make -C source/code_examples/scrambler clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/scrambler'
make -C source/code_examples/selections clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections'
rm -rf *.dot
rm -f sumprod.out
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/selections'
make -C source/code_examples/show clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show'
rm -rf *.dot
rm -f example.out
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/show'
make -C source/code_examples/stubnets clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets'
rm -f test1.log test2.log test3.log
rm -f stubnets.so stubnets.d
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/stubnets'
make -C source/code_examples/synth_flow clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/synth_flow'
make -C source/code_examples/techmap clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap'
rm -f *.dot
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/code_examples/techmap'
rm -rf build/*
rm -rf source/cmd util/__pycache__
rm -rf source/generated
make -C source/_images clean
make[2]: Entering directory '/builddir/build/BUILD/yosys/docs/source/_images'
rm -f  **/*.log **/*.aux
rm -rf code_examples
rm -f **/*.pdf **/*.svg
make[2]: Leaving directory '/builddir/build/BUILD/yosys/docs/source/_images'
make[1]: Leaving directory '/builddir/build/BUILD/yosys/docs'
make -C docs/images clean
make[1]: Entering directory '/builddir/build/BUILD/yosys'
make[1]: Leaving directory '/builddir/build/BUILD/yosys'
make[1]: *** docs/images: No such file or directory.  Stop.
make: [Makefile:1059: clean] Error 2 (ignored)
rm -rf docs/source/cmd docs/util/__pycache__
echo 'CONFIG := gcc' > Makefile.conf
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn'
+ export RUSTFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes '
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all
[Makefile.conf] CONFIG := gcc
rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc
mkdir -p kernel/
mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.47+149 (git sha1 889894a6d, g++ 13.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -O3)\"; }" > kernel/version_889894a6d.cc
g++ -o kernel/driver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new
mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc
mkdir -p techlibs/common
python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new
mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc
mkdir -p kernel/
g++ -o kernel/rtlil.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc
kernel/rtlil.cc: In member function ‘size_t Yosys::RTLIL::Const::get_min_size(bool) const’:
kernel/rtlil.cc:400:30: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  400 |         if (is_signed && idx < size()) {
      |                          ~~~~^~~~~~~~
mkdir -p kernel/
g++ -o kernel/log.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc
mkdir -p kernel/
g++ -o kernel/calc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc
kernel/calc.cc: In function ‘Yosys::RTLIL::Const Yosys::RTLIL::const_not(const Const&, const Const&, bool, bool, int)’:
kernel/calc.cc:142:23: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  142 |                 if (i >= arg1_ext.size())
      |                     ~~^~~~~~~~~~~~~~~~~~
kernel/calc.cc: In function ‘Yosys::RTLIL::Const Yosys::logic_wrapper(RTLIL::State (*)(RTLIL::State, RTLIL::State), RTLIL::Const, RTLIL::Const, bool, bool, int)’:
kernel/calc.cc:164:36: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  164 |                 RTLIL::State a = i < arg1.size() ? arg1.bits()[i] : RTLIL::State::S0;
      |                                  ~~^~~~~~~~~~~~~
kernel/calc.cc:165:36: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  165 |                 RTLIL::State b = i < arg2.size() ? arg2.bits()[i] : RTLIL::State::S0;
      |                                  ~~^~~~~~~~~~~~~
kernel/calc.cc: In function ‘Yosys::RTLIL::Const Yosys::logic_reduce_wrapper(RTLIL::State, RTLIL::State (*)(RTLIL::State, RTLIL::State), const RTLIL::Const&, int)’:
kernel/calc.cc:196:30: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  196 |         for (size_t i = 0; i < arg1.size(); i++)
      |                            ~~^~~~~~~~~~~~~
kernel/calc.cc: In function ‘Yosys::RTLIL::Const Yosys::RTLIL::const_eq(const Const&, const Const&, bool, bool, int)’:
kernel/calc.cc:377:30: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  377 |         for (size_t i = 0; i < arg1_ext.size(); i++) {
      |                            ~~^~~~~~~~~~~~~~~~~
kernel/calc.cc: In function ‘Yosys::RTLIL::Const Yosys::RTLIL::const_eqx(const Const&, const Const&, bool, bool, int)’:
kernel/calc.cc:410:30: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  410 |         for (size_t i = 0; i < arg1_ext.size(); i++) {
      |                            ~~^~~~~~~~~~~~~~~~~
mkdir -p kernel/
g++ -o kernel/yosys.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc
mkdir -p kernel/
g++ -o kernel/binding.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc
mkdir -p kernel/
g++ -o kernel/cellaigs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc
mkdir -p kernel/
g++ -o kernel/celledges.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc
mkdir -p kernel/
g++ -o kernel/cost.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc
mkdir -p kernel/
g++ -o kernel/satgen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc
mkdir -p kernel/
g++ -o kernel/scopeinfo.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc
mkdir -p kernel/
g++ -o kernel/qcsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc
mkdir -p kernel/
g++ -o kernel/mem.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc
mkdir -p kernel/
g++ -o kernel/ffmerge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc
mkdir -p kernel/
g++ -o kernel/ff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc
mkdir -p kernel/
g++ -o kernel/yw.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc
mkdir -p kernel/
g++ -o kernel/json.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc
mkdir -p kernel/
g++ -o kernel/fmt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc
mkdir -p kernel/
g++ -o kernel/sexpr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc
mkdir -p kernel/
g++ -o kernel/drivertools.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc
mkdir -p kernel/
g++ -o kernel/functional.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc
mkdir -p kernel/
g++ -o kernel/fstdata.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc
mkdir -p libs/bigint/
g++ -o libs/bigint/BigIntegerAlgorithms.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc
mkdir -p libs/bigint/
g++ -o libs/bigint/BigInteger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc
mkdir -p libs/bigint/
g++ -o libs/bigint/BigIntegerUtils.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc
mkdir -p libs/bigint/
g++ -o libs/bigint/BigUnsigned.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc
mkdir -p libs/bigint/
g++ -o libs/bigint/BigUnsignedInABase.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc
mkdir -p libs/sha1/
g++ -o libs/sha1/sha1.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp
mkdir -p libs/json11/
g++ -o libs/json11/json11.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp
mkdir -p libs/ezsat/
g++ -o libs/ezsat/ezsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc
mkdir -p libs/ezsat/
g++ -o libs/ezsat/ezminisat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc
mkdir -p libs/minisat/
g++ -o libs/minisat/Options.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc
mkdir -p libs/minisat/
g++ -o libs/minisat/SimpSolver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc
mkdir -p libs/minisat/
g++ -o libs/minisat/Solver.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc
mkdir -p libs/minisat/
g++ -o libs/minisat/System.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc
mkdir -p libs/fst/
g++ -o libs/fst/fstapi.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc
mkdir -p libs/fst/
g++ -o libs/fst/fastlz.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc
mkdir -p libs/fst/
g++ -o libs/fst/lz4.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc
In function ‘void fstWritex(fstReaderContext*, void*, uint32_t)’,
    inlined from ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’ at libs/fst/fstapi.cc:5812:66:
libs/fst/fstapi.cc:3473:26: warning: ‘ssize_t write(int, const void*, size_t)’ reading between 65536 and 4294967295 bytes from a region of size 16 [-Wstringop-overread]
 3473 |                 if (write(xc->writex_fd, s, len)) { };
      |                     ~~~~~^~~~~~~~~~~~~~~~~~~~~~~
libs/fst/fstapi.cc: In function ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’:
libs/fst/fstapi.cc:5807:62: note: source object ‘vcd_id’ of size 16
 5807 |                                                         char vcd_id[16];
      |                                                              ^~~~~~
In file included from /usr/include/zconf.h:488,
                 from /usr/include/zlib.h:34,
                 from libs/fst/fstapi.h:41,
                 from libs/fst/fstapi.cc:46:
/usr/include/unistd.h:378:16: note: in a call to function ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘access (read_only, 2, 3)’
  378 | extern ssize_t write (int __fd, const void *__buf, size_t __n) __wur
      |                ^~~~~
In function ‘void fstWritex(fstReaderContext*, void*, uint32_t)’,
    inlined from ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’ at libs/fst/fstapi.cc:6073:66:
libs/fst/fstapi.cc:3473:26: warning: ‘ssize_t write(int, const void*, size_t)’ reading between 65536 and 4294967295 bytes from a region of size 32 [-Wstringop-overread]
 3473 |                 if (write(xc->writex_fd, s, len)) { };
      |                     ~~~~~^~~~~~~~~~~~~~~~~~~~~~~
libs/fst/fstapi.cc: In function ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’:
libs/fst/fstapi.cc:6054:62: note: source object ‘wx_buf’ of size 32
 6054 |                                                         char wx_buf[32];
      |                                                              ^~~~~~
/usr/include/unistd.h:378:16: note: in a call to function ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘access (read_only, 2, 3)’
  378 | extern ssize_t write (int __fd, const void *__buf, size_t __n) __wur
      |                ^~~~~
In function ‘void fstWritex(fstReaderContext*, void*, uint32_t)’,
    inlined from ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’ at libs/fst/fstapi.cc:6084:50:
libs/fst/fstapi.cc:3473:26: warning: ‘ssize_t write(int, const void*, size_t)’ reading between 65536 and 4294967295 bytes from a region of size 16 [-Wstringop-overread]
 3473 |                 if (write(xc->writex_fd, s, len)) { };
      |                     ~~~~~^~~~~~~~~~~~~~~~~~~~~~~
libs/fst/fstapi.cc: In function ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’:
libs/fst/fstapi.cc:6080:46: note: source object ‘vcd_id’ of size 16
 6080 |                                         char vcd_id[16];
      |                                              ^~~~~~
/usr/include/unistd.h:378:16: note: in a call to function ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘access (read_only, 2, 3)’
  378 | extern ssize_t write (int __fd, const void *__buf, size_t __n) __wur
      |                ^~~~~
mkdir -p libs/subcircuit/
g++ -o libs/subcircuit/subcircuit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc
mkdir -p frontends/aiger/
g++ -o frontends/aiger/aigerparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc
mkdir -p frontends/aiger2/
g++ -o frontends/aiger2/xaiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc
mkdir -p frontends/ast/
g++ -o frontends/ast/ast.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc
frontends/aiger2/xaiger.cc: In member function ‘void {anonymous}::Xaiger2Frontend::read_sc_mapping(std::istream*&, std::string, std::vector<std::__cxx11::basic_string<char> >, Yosys::RTLIL::Design*)’:
frontends/aiger2/xaiger.cc:201:50: warning: variable ‘box_inputs’ set but not used [-Wunused-but-set-variable]
  201 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                  ^~~~~~~~~~
frontends/aiger2/xaiger.cc:201:75: warning: variable ‘box_id’ set but not used [-Wunused-but-set-variable]
  201 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                                           ^~~~~~
frontends/aiger2/xaiger.cc:340:62: warning: variable ‘box_outputs’ set but not used [-Wunused-but-set-variable]
  340 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                              ^~~~~~~~~~~
frontends/aiger2/xaiger.cc:340:75: warning: variable ‘box_id’ set but not used [-Wunused-but-set-variable]
  340 |                                         uint32_t box_inputs, box_outputs, box_id, box_seq;
      |                                                                           ^~~~~~
frontends/ast/ast.cc: In member function ‘double Yosys::AST::AstNode::asReal(bool)’:
frontends/ast/ast.cc:1032:38: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
 1032 |                 for (size_t i = 0; i < val.size(); i++)
      |                                    ~~^~~~~~~~~~~~
mkdir -p frontends/ast/
g++ -o frontends/ast/simplify.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc
frontends/ast/simplify.cc: In member function ‘bool Yosys::AST::AstNode::simplify(bool, int, int, bool)’:
frontends/ast/simplify.cc:3496:54: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
 3496 |                                 for (size_t i = 0; i < arg_value.size(); i++)
      |                                                    ~~^~~~~~~~~~~~~~~~~~
frontends/ast/simplify.cc:4342:62: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
 4342 |                                         for (size_t i = 0; i < a.size(); i++)
      |                                                            ~~^~~~~~~~~~
mkdir -p frontends/ast/
g++ -o frontends/ast/genrtlil.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc
mkdir -p frontends/ast/
g++ -o frontends/ast/dpicall.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc
mkdir -p frontends/ast/
g++ -o frontends/ast/ast_binding.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc
mkdir -p frontends/blif/
g++ -o frontends/blif/blifparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc
mkdir -p frontends/json/
g++ -o frontends/json/jsonparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc
mkdir -p frontends/liberty/
g++ -o frontends/liberty/liberty.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc
mkdir -p frontends/rpc/
g++ -o frontends/rpc/rpc_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc
mkdir -p frontends/rtlil/
bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y
mkdir -p frontends/rtlil/
flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l
mkdir -p frontends/rtlil/
g++ -o frontends/rtlil/rtlil_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc
mkdir -p frontends/verific/
g++ -o frontends/verific/verific.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc
mkdir -p frontends/verilog/
bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y
mkdir -p frontends/verilog/
g++ -o frontends/verilog/preproc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc
mkdir -p frontends/verilog/
g++ -o frontends/verilog/verilog_frontend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc
mkdir -p frontends/verilog/
g++ -o frontends/verilog/const2ast.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/exec.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/add.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/delete.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/design.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/select.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/show.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/viz.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/rename.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/autoname.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/connect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/scatter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/setundef.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/splitnets.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/splitcells.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/stat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/internal_stats.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/internal_stats.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/setattr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/copy.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/splice.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/scc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/glift.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/torder.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/logcmd.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/tee.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/write_file.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/connwrappers.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/cover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/trace.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/plugin.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/check.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/edgetypes.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/portlist.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/chformal.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/chtype.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/blackbox.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/ltp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/bugpoint.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/scratchpad.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/logger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/printattrs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/sta.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/clean_zerowidth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/xprop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/dft_tag.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/future.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/box_derive.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/example_dt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/example_dt.cc
mkdir -p passes/cmds/
g++ -o passes/cmds/portarcs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portarcs.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_make.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_miter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_simple.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_status.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_add.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_remove.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_induct.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_struct.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_purge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_mark.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc
mkdir -p passes/equiv/
g++ -o passes/equiv/equiv_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_detect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_extract.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_expand.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_recode.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_info.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_export.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc
mkdir -p passes/fsm/
g++ -o passes/fsm/fsm_map.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc
passes/fsm/fsm_map.cc: In function ‘void {anonymous}::implement_pattern_cache(Yosys::RTLIL::Module*, std::map<Yosys::RTLIL::Const, std::set<int> >&, std::set<int>&, int, Yosys::RTLIL::Wire*, Yosys::RTLIL::SigSpec&, Yosys::RTLIL::SigSpec)’:
passes/fsm/fsm_map.cc:57:38: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
   57 |                 for (size_t j = 0; j < pattern.size(); j++)
      |                                    ~~^~~~~~~~~~~~~~~~
passes/fsm/fsm_map.cc: In function ‘void {anonymous}::map_fsm(Yosys::RTLIL::Cell*, Yosys::RTLIL::Module*)’:
passes/fsm/fsm_map.cc:201:38: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  201 |                 for (size_t j = 0; j < state.size(); j++)
      |                                    ~~^~~~~~~~~~~~~~
passes/fsm/fsm_map.cc:264:54: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  264 |                                 for (size_t j = 0; j < state.size(); j++)
      |                                                    ~~^~~~~~~~~~~~~~
mkdir -p passes/hierarchy/
g++ -o passes/hierarchy/hierarchy.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc
mkdir -p passes/hierarchy/
g++ -o passes/hierarchy/uniquify.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc
mkdir -p passes/hierarchy/
g++ -o passes/hierarchy/submod.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc
mkdir -p passes/hierarchy/
g++ -o passes/hierarchy/keep_hierarchy.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/keep_hierarchy.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_collect.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_unpack.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_bram.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_map.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_memx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_nordff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_narrow.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_libmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc
mkdir -p passes/memory/
g++ -o passes/memory/memory_bmux2rom.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc
mkdir -p passes/memory/
g++ -o passes/memory/memlib.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_merge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_mem.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_mem_feedback.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_mem_priority.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_mem_widen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_muxtree.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_reduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_clean.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_expr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc
mkdir -p passes/opt/
g++ -o passes/opt/share.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc
mkdir -p passes/opt/
g++ -o passes/opt/wreduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_demorgan.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc
mkdir -p passes/opt/
g++ -o passes/opt/rmports.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_lut.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_lut_ins.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc
mkdir -p passes/opt/
g++ -o passes/opt/opt_ffinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc
mkdir -p passes/opt/
g++ -o passes/opt/pmux2shiftx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc
mkdir -p passes/opt/
g++ -o passes/opt/muxpack.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg
mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg passes/pmgen/peepopt_formal_clockgateff.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg
mkdir -p passes/pmgen/
g++ -o passes/pmgen/ice40_dsp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_pm.h -p microchip_dsp passes/pmgen/microchip_dsp.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_CREG_pm.h -p microchip_dsp_CREG passes/pmgen/microchip_dsp_CREG.pmg
mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_cascade_pm.h -p microchip_dsp_cascade passes/pmgen/microchip_dsp_cascade.pmg
mkdir -p passes/pmgen/
g++ -o passes/pmgen/peepopt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc
mkdir -p passes/pmgen/
g++ -o passes/pmgen/xilinx_srl.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_prune.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_clean.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_rmdead.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_init.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_arst.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_rom.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_mux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_dlatch.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_dff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc
mkdir -p passes/proc/
g++ -o passes/proc/proc_memwr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc
mkdir -p passes/sat/
g++ -o passes/sat/sat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc
mkdir -p passes/sat/
g++ -o passes/sat/freduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc
mkdir -p passes/sat/
g++ -o passes/sat/eval.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc
mkdir -p passes/sat/
g++ -o passes/sat/sim.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc
mkdir -p passes/sat/
g++ -o passes/sat/miter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc
mkdir -p passes/sat/
g++ -o passes/sat/expose.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc
mkdir -p passes/sat/
g++ -o passes/sat/assertpmux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc
mkdir -p passes/sat/
g++ -o passes/sat/clk2fflogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc
mkdir -p passes/sat/
g++ -o passes/sat/async2sync.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc
mkdir -p passes/sat/
g++ -o passes/sat/formalff.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc
mkdir -p passes/sat/
g++ -o passes/sat/supercover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc
mkdir -p passes/sat/
g++ -o passes/sat/fmcombine.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc
mkdir -p passes/sat/
g++ -o passes/sat/mutate.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc
mkdir -p passes/sat/
g++ -o passes/sat/cutpoint.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc
mkdir -p passes/sat/
g++ -o passes/sat/fminit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc
mkdir -p passes/sat/
g++ -o passes/sat/recover_names.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc
mkdir -p passes/sat/
g++ -o passes/sat/qbfsat.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc
mkdir -p passes/sat/
g++ -o passes/sat/synthprop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/flatten.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/techmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/simplemap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/dfflibmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/maccmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/booth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/libparse.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/abc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/abc9.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/abc9_exe.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/abc9_ops.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/abc_new.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc_new.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/iopadmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/clkbufmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/hilomap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/extract.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/extract_fa.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/extract_counter.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/extract_reduce.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/alumacc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/dffinit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/pmuxtree.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/bmuxmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/demuxmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/bwmuxmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/muxcover.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/aigmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/tribuf.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/lut2mux.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/nlutmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/shregmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/deminout.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/insbuf.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/bufnorm.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bufnorm.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/attrmvcp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/attrmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/zinit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/dfflegalize.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/dffunmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/flowmap.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/extractinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/cellmatch.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc
mkdir -p passes/techmap/
g++ -o passes/techmap/clockgate.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clockgate.cc
mkdir -p passes/tests/
g++ -o passes/tests/test_autotb.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc
mkdir -p passes/tests/
g++ -o passes/tests/test_cell.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc
mkdir -p passes/tests/
g++ -o passes/tests/test_abcloop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc
mkdir -p backends/aiger/
g++ -o backends/aiger/aiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc
mkdir -p backends/aiger/
g++ -o backends/aiger/xaiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc
mkdir -p backends/aiger2/
g++ -o backends/aiger2/aiger.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger2/aiger.cc
mkdir -p backends/blif/
g++ -o backends/blif/blif.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc
backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::XAigerAnalysis; Lit = int; Lit CFALSE = 0; Lit CTRUE = 0]’:
backends/aiger2/aiger.cc:273:43: warning: ‘a’ may be used uninitialized [-Wmaybe-uninitialized]
  273 |                                 return XOR(carry, XNOR(a, b));
      |                                        ~~~^~~~~~~~~~~~~~~~~~~
backends/aiger2/aiger.cc:265:37: note: ‘a’ was declared here
  265 |                                 Lit a, b;
      |                                     ^
backends/aiger2/aiger.cc:273:43: warning: ‘b’ may be used uninitialized [-Wmaybe-uninitialized]
  273 |                                 return XOR(carry, XNOR(a, b));
      |                                        ~~~^~~~~~~~~~~~~~~~~~~
backends/aiger2/aiger.cc:265:40: note: ‘b’ was declared here
  265 |                                 Lit a, b;
      |                                        ^
In static member function ‘static {anonymous}::AigerWriter::Lit {anonymous}::AigerWriter::negate(Lit)’,
    inlined from ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::NOT(Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:173:24,
    inlined from ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::XNOR(Lit, Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:202:13,
    inlined from ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:273:15:
backends/aiger2/aiger.cc:672:30: warning: ‘a’ may be used uninitialized [-Wmaybe-uninitialized]
  672 |                 return lit ^ 1;
      |                              ^
backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’:
backends/aiger2/aiger.cc:265:37: note: ‘a’ was declared here
  265 |                                 Lit a, b;
      |                                     ^
In member function ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::XNOR(Lit, Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’,
    inlined from ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:273:15:
backends/aiger2/aiger.cc:202:27: warning: ‘b’ may be used uninitialized [-Wmaybe-uninitialized]
  202 |                 return NOT(OR(AND(a, NOT(b)), AND(NOT(a), b)));
      |                        ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index<Writer, Lit, CFALSE, CTRUE>::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’:
backends/aiger2/aiger.cc:265:40: note: ‘b’ was declared here
  265 |                                 Lit a, b;
      |                                        ^
mkdir -p backends/btor/
g++ -o backends/btor/btor.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc
mkdir -p backends/cxxrtl/
g++ -o backends/cxxrtl/cxxrtl_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc
mkdir -p backends/edif/
g++ -o backends/edif/edif.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc
backends/edif/edif.cc: In lambda function:
backends/edif/edif.cc:341:54: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  341 |                                 for (size_t i = 0; i < val.size(); i += 4) {
      |                                                    ~~^~~~~~~~~~~~
backends/edif/edif.cc:343:49: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  343 |                                         if (i+0 < val.size() && val.at(i+0) == RTLIL::State::S1) digit_value |= 1;
      |                                             ~~~~^~~~~~~~~~~~
backends/edif/edif.cc:344:49: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  344 |                                         if (i+1 < val.size() && val.at(i+1) == RTLIL::State::S1) digit_value |= 2;
      |                                             ~~~~^~~~~~~~~~~~
backends/edif/edif.cc:345:49: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  345 |                                         if (i+2 < val.size() && val.at(i+2) == RTLIL::State::S1) digit_value |= 4;
      |                                             ~~~~^~~~~~~~~~~~
backends/edif/edif.cc:346:49: warning: comparison of integer expressions of different signedness: ‘size_t’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare]
  346 |                                         if (i+3 < val.size() && val.at(i+3) == RTLIL::State::S1) digit_value |= 8;
      |                                             ~~~~^~~~~~~~~~~~
mkdir -p backends/firrtl/
g++ -o backends/firrtl/firrtl.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc
mkdir -p backends/functional/
g++ -o backends/functional/cxx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/cxx.cc
mkdir -p backends/functional/
g++ -o backends/functional/smtlib.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib.cc
mkdir -p backends/functional/
g++ -o backends/functional/smtlib_rosette.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib_rosette.cc
mkdir -p backends/functional/
g++ -o backends/functional/test_generic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/test_generic.cc
mkdir -p backends/intersynth/
g++ -o backends/intersynth/intersynth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc
mkdir -p backends/jny/
g++ -o backends/jny/jny.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc
mkdir -p backends/json/
g++ -o backends/json/json.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc
mkdir -p backends/rtlil/
g++ -o backends/rtlil/rtlil_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc
mkdir -p backends/simplec/
g++ -o backends/simplec/simplec.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc
mkdir -p backends/smt2/
g++ -o backends/smt2/smt2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc
mkdir -p backends/smv/
g++ -o backends/smv/smv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc
mkdir -p backends/spice/
g++ -o backends/spice/spice.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc
mkdir -p backends/table/
g++ -o backends/table/table.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc
mkdir -p backends/verilog/
g++ -o backends/verilog/verilog_backend.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc
mkdir -p techlibs/achronix/
g++ -o techlibs/achronix/synth_achronix.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc
mkdir -p techlibs/anlogic/
g++ -o techlibs/anlogic/synth_anlogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc
mkdir -p techlibs/anlogic/
g++ -o techlibs/anlogic/anlogic_eqn.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc
mkdir -p techlibs/anlogic/
g++ -o techlibs/anlogic/anlogic_fixcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc
mkdir -p techlibs/common/
g++ -o techlibs/common/synth.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc
mkdir -p techlibs/common/
g++ -o techlibs/common/prep.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc
mkdir -p techlibs/coolrunner2/
g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc
mkdir -p techlibs/coolrunner2/
g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc
mkdir -p techlibs/coolrunner2/
g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc
mkdir -p techlibs/easic/
g++ -o techlibs/easic/synth_easic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc
mkdir -p techlibs/ecp5/
g++ -o techlibs/ecp5/synth_ecp5.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc
mkdir -p techlibs/efinix/
g++ -o techlibs/efinix/synth_efinix.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc
mkdir -p techlibs/efinix/
g++ -o techlibs/efinix/efinix_fixcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc
mkdir -p techlibs/fabulous/
g++ -o techlibs/fabulous/synth_fabulous.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc
mkdir -p techlibs/gatemate/
g++ -o techlibs/gatemate/synth_gatemate.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc
mkdir -p techlibs/gatemate/
g++ -o techlibs/gatemate/gatemate_foldinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc
mkdir -p techlibs/gowin/
g++ -o techlibs/gowin/synth_gowin.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc
mkdir -p techlibs/greenpak4/
g++ -o techlibs/greenpak4/synth_greenpak4.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc
mkdir -p techlibs/greenpak4/
g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc
mkdir -p techlibs/ice40/
g++ -o techlibs/ice40/synth_ice40.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc
mkdir -p techlibs/ice40/
g++ -o techlibs/ice40/ice40_braminit.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc
mkdir -p techlibs/ice40/
g++ -o techlibs/ice40/ice40_opt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc
mkdir -p techlibs/intel/
g++ -o techlibs/intel/synth_intel.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc
mkdir -p techlibs/intel_alm/
g++ -o techlibs/intel_alm/synth_intel_alm.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc
mkdir -p techlibs/lattice/
g++ -o techlibs/lattice/synth_lattice.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc
mkdir -p techlibs/lattice/
g++ -o techlibs/lattice/lattice_gsr.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc
mkdir -p techlibs/microchip/
g++ -o techlibs/microchip/synth_microchip.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/synth_microchip.cc
mkdir -p techlibs/microchip/
g++ -o techlibs/microchip/microchip_dffopt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dffopt.cc
mkdir -p techlibs/nanoxplore/
g++ -o techlibs/nanoxplore/synth_nanoxplore.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/synth_nanoxplore.cc
mkdir -p techlibs/nanoxplore/
g++ -o techlibs/nanoxplore/nx_carry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/nx_carry.cc
mkdir -p techlibs/nexus/
g++ -o techlibs/nexus/synth_nexus.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/synth_quicklogic.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/ql_bram_merge.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/ql_bram_types.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/ql_dsp_simd.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc
In file included from techlibs/quicklogic/ql_dsp_simd.cc:19:
techlibs/quicklogic/ql_dsp_simd.cc: In member function ‘virtual void {anonymous}::QlDspSimdPass::execute(std::vector<std::__cxx11::basic_string<char> >, Yosys::RTLIL::Design*)’:
techlibs/quicklogic/ql_dsp_simd.cc:213:69: warning: comparison of integer expressions of different signedness: ‘int’ and ‘const size_t’ {aka ‘const long unsigned int’} [-Wsign-compare]
  213 |                                         log_assert(mode_bits.size() == m_ModeBitsSize);
      |                                                    ~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~
./kernel/log.h:226:78: note: in definition of macro ‘log_assert’
  226 | #  define log_assert(_assert_expr_) YOSYS_NAMESPACE_PREFIX log_assert_worker(_assert_expr_, #_assert_expr_, __FILE__, __LINE__)
      |                                                                              ^~~~~~~~~~~~~
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc
mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg
mkdir -p techlibs/sf2/
g++ -o techlibs/sf2/synth_sf2.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc
mkdir -p techlibs/xilinx/
g++ -o techlibs/xilinx/synth_xilinx.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc
mkdir -p techlibs/xilinx/
g++ -o techlibs/xilinx/xilinx_dffopt.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc
sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/share/yosys/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \
		-e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \
		-e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config
chmod +x yosys-config
mkdir -p passes/techmap/
g++ -o passes/techmap/filterlib.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc
sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new
chmod +x yosys-smtbmc.new
mv yosys-smtbmc.new yosys-smtbmc
sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new
chmod +x yosys-witness.new
mv yosys-witness.new yosys-witness
mkdir -p share/include/kernel/
cp "./"/kernel/binding.h share/include/kernel/binding.h
mkdir -p share/include/kernel/
cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h
mkdir -p share/include/kernel/
cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h
mkdir -p share/include/kernel/
cp "./"/kernel/celledges.h share/include/kernel/celledges.h
mkdir -p share/include/kernel/
cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h
mkdir -p share/include/kernel/
cp "./"/kernel/consteval.h share/include/kernel/consteval.h
mkdir -p share/include/kernel/
cp "./"/kernel/constids.inc share/include/kernel/constids.inc
mkdir -p share/include/kernel/
cp "./"/kernel/cost.h share/include/kernel/cost.h
mkdir -p share/include/kernel/
cp "./"/kernel/drivertools.h share/include/kernel/drivertools.h
mkdir -p share/include/kernel/
cp "./"/kernel/ff.h share/include/kernel/ff.h
mkdir -p share/include/kernel/
cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h
mkdir -p share/include/kernel/
cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h
mkdir -p share/include/kernel/
cp "./"/kernel/fmt.h share/include/kernel/fmt.h
mkdir -p share/include/kernel/
cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h
mkdir -p share/include/kernel/
cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h
mkdir -p share/include/kernel/
cp "./"/kernel/json.h share/include/kernel/json.h
mkdir -p share/include/kernel/
cp "./"/kernel/log.h share/include/kernel/log.h
mkdir -p share/include/kernel/
cp "./"/kernel/macc.h share/include/kernel/macc.h
mkdir -p share/include/kernel/
cp "./"/kernel/modtools.h share/include/kernel/modtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/mem.h share/include/kernel/mem.h
mkdir -p share/include/kernel/
cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h
mkdir -p share/include/kernel/
cp "./"/kernel/register.h share/include/kernel/register.h
mkdir -p share/include/kernel/
cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h
mkdir -p share/include/kernel/
cp "./"/kernel/satgen.h share/include/kernel/satgen.h
mkdir -p share/include/kernel/
cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h
mkdir -p share/include/kernel/
cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h
mkdir -p share/include/kernel/
cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h
mkdir -p share/include/kernel/
cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h
mkdir -p share/include/kernel/
cp "./"/kernel/utils.h share/include/kernel/utils.h
mkdir -p share/include/kernel/
cp "./"/kernel/yosys.h share/include/kernel/yosys.h
mkdir -p share/include/kernel/
cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h
mkdir -p share/include/kernel/
cp "./"/kernel/yw.h share/include/kernel/yw.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h
mkdir -p share/include/libs/ezsat/
cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h
mkdir -p share/include/libs/fst/
cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h
mkdir -p share/include/libs/sha1/
cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h
mkdir -p share/include/libs/json11/
cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp
mkdir -p share/include/passes/fsm/
cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h
mkdir -p share/include/frontends/ast/
cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h
mkdir -p share/include/frontends/blif/
cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h
mkdir -p share/include/backends/rtlil/
cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/
cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/
cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/
cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/
cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/
cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/
cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/
cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc
mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/
cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h
mkdir -p share/python3
cp "./"/backends/smt2/smtio.py share/python3/smtio.py
mkdir -p share/python3
cp "./"/backends/smt2/ywio.py share/python3/ywio.py
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v
mkdir -p share/achronix/speedster22i/
cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt
mkdir -p share/anlogic
cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v
mkdir -p share
cp "./"/techlibs/common/simlib.v share/simlib.v
mkdir -p share
cp "./"/techlibs/common/simcells.v share/simcells.v
mkdir -p share
cp "./"/techlibs/common/techmap.v share/techmap.v
mkdir -p share
cp "./"/techlibs/common/smtmap.v share/smtmap.v
mkdir -p share
cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v
mkdir -p share
cp "./"/techlibs/common/adff2dff.v share/adff2dff.v
mkdir -p share
cp "./"/techlibs/common/dff2ff.v share/dff2ff.v
mkdir -p share
cp "./"/techlibs/common/gate2lut.v share/gate2lut.v
mkdir -p share
cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v
mkdir -p share
cp "./"/techlibs/common/cells.lib share/cells.lib
mkdir -p share
cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v
mkdir -p share
cp "./"/techlibs/common/abc9_model.v share/abc9_model.v
mkdir -p share
cp "./"/techlibs/common/abc9_map.v share/abc9_map.v
mkdir -p share
cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v
mkdir -p share
cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v
mkdir -p share
cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v
mkdir -p share/choices
cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v
mkdir -p share/choices
cp "./"/techlibs/common/choices/han-carlson.v share/choices/han-carlson.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v
mkdir -p share/coolrunner2
cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v
mkdir -p share/ecp5
cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v
mkdir -p share/efinix
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v
cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v
mkdir -p share/efinix
cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v
mkdir -p share/fabulous
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v
cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v
mkdir -p share/fabulous
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v
cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt
mkdir -p share/fabulous
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v
cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v
mkdir -p share/fabulous
cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v
mkdir -p share/gatemate
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v
cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v
mkdir -p share/gatemate
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt
cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh
mkdir -p share/gatemate
mkdir -p share/gatemate
cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh
cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v
mkdir -p techlibs/gatemate
python3 techlibs/gatemate/make_lut_tree_lib.py
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt
mkdir -p share/gowin
touch techlibs/gatemate/lut_tree_lib.mk
cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v
mkdir -p share/gowin
cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v
mkdir -p share/greenpak4
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v
cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v
mkdir -p share/greenpak4
cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib
mkdir -p share/ice40
cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt
mkdir -p share/ice40
cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v
mkdir -p share/ice40
mkdir -p share/ice40
cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v
cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v
mkdir -p share/intel/common
cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v
mkdir -p share/intel/max10
cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v
mkdir -p share/intel/max10
mkdir -p share/intel/cyclone10lp
cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v
cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v
mkdir -p share/intel/cycloneiv
cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v
mkdir -p share/intel/cycloneive
cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v
mkdir -p share/intel_alm/common
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v
cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v
mkdir -p share/intel_alm/cyclonev
cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt
mkdir -p share/intel_alm/common
cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh
mkdir -p share/lattice
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh
cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v
mkdir -p share/lattice
mkdir -p share/lattice
cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh
cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh
mkdir -p share/lattice
mkdir -p share/lattice
cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh
cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v
mkdir -p share/lattice
mkdir -p share/lattice
cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v
cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt
mkdir -p share/lattice
cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v
mkdir -p share/lattice
cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh
mkdir -p share/microchip
cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt
mkdir -p share/microchip
cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v
mkdir -p share/microchip
cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v
mkdir -p share/nanoxplore
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v
cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v
mkdir -p share/nanoxplore
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v
cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v
mkdir -p share/nanoxplore
cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v
cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt
cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v
mkdir -p share/nexus
mkdir -p share/nexus
cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt
cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v
mkdir -p share/nexus
cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v
mkdir -p share/quicklogic/common
cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v
mkdir -p share/quicklogic/pp3
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v
cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v
mkdir -p share/quicklogic/pp3
cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v
mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v
mkdir -p share/quicklogic/qlf_k6n10f
cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v
mkdir -p share/sf2
cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v
cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v
cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v
cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v
mkdir -p share/xilinx
mkdir -p share/xilinx
cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v
cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v
mkdir -p kernel/
mkdir -p kernel/
g++ -o kernel/version_889894a6d.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_889894a6d.cc
g++ -o kernel/register.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc
mkdir -p frontends/rtlil/
g++ -o frontends/rtlil/rtlil_parser.tab.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc
mkdir -p frontends/rtlil/
g++ -o frontends/rtlil/rtlil_lexer.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc
mkdir -p frontends/verilog/
g++ -o frontends/verilog/verilog_parser.tab.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc
kernel/register.cc: In constructor ‘Yosys::CellHelpMessages::CellHelpMessages()’:
kernel/register.cc:782:9: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without
  782 |         CellHelpMessages() {
      |         ^~~~~~~~~~~~~~~~
mkdir -p frontends/verilog/
flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l
mkdir -p passes/pmgen/
g++ -o passes/pmgen/test_pmgen.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc
mkdir -p passes/pmgen/
g++ -o passes/pmgen/ice40_wrapcarry.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc
mkdir -p passes/pmgen/
g++ -o passes/pmgen/xilinx_dsp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc
mkdir -p passes/pmgen/
g++ -o passes/pmgen/microchip_dsp.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/microchip_dsp.cc
mkdir -p techlibs/quicklogic/
g++ -o techlibs/quicklogic/ql_dsp_macc.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc
mkdir -p ./
g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o  -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib
mkdir -p share/gatemate
cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v
mkdir -p share/quicklogic/qlf_k6n10f
cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v
mkdir -p frontends/verilog/
g++ -o frontends/verilog/verilog_lexer.o -c  -O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer  -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE  -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB  -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc
g++ -o yosys  -rdynamic kernel/version_889894a6d.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/cmds/portarcs.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o  -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 

  Build successful.

+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.CfbYf2
+ umask 022
+ cd /builddir/build/BUILD
+ '[' /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64 '!=' / ']'
+ rm -rf /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
++ dirname /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
+ mkdir -p /builddir/build/BUILDROOT
+ mkdir /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
+ CFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CFLAGS
+ CXXFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer '
+ export CXXFLAGS
+ FFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FFLAGS
+ FCFLAGS='-O2  -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -m64   -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules '
+ export FCFLAGS
+ VALAFLAGS=-g
+ export VALAFLAGS
+ RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn'
+ export RUSTFLAGS
+ LDFLAGS='-Wl,-z,relro -Wl,--as-needed  -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1  -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes '
+ export LDFLAGS
+ LT_SYS_LIBRARY_PATH=/usr/lib64:
+ export LT_SYS_LIBRARY_PATH
+ CC=gcc
+ export CC
+ CXX=g++
+ export CXX
+ cd yosys
+ /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true
[Makefile.conf] CONFIG := gcc
mkdir -p /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/bin
cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/bin
/bin/true -S /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/bin/yosys
/bin/true /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/bin/yosys-filterlib
mkdir -p /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/yosys
cp -r share/. /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/yosys/.
+ install -d -m0755 /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/include
+ mv /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/include/yosys
+ /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.47-20241203.0.git889894a6.fc39 --unique-debug-suffix -0.47-20241203.0.git889894a6.fc39.x86_64 --unique-debug-src-base yosys-0.47-20241203.0.git889894a6.fc39.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys
find-debuginfo: starting
Extracting debug info from 2 files
DWARF-compressing 2 files
dwz: Too few files for multifile optimization
sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match.
Creating .debug symlinks for symlinks to ELF files
Copying sources found by 'debugedit -l' to /usr/src/debug/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
15588 blocks
find-debuginfo: done
+ /usr/lib/rpm/check-buildroot
+ /usr/lib/rpm/redhat/brp-ldconfig
+ /usr/lib/rpm/brp-compress
+ /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip
+ /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip
+ /usr/lib/rpm/check-rpaths
+ /usr/lib/rpm/redhat/brp-mangle-shebangs
mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash
+ /usr/lib/rpm/brp-remove-la-files
+ env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2
+ /usr/lib/rpm/redhat/brp-python-hardlink
Processing files: yosys-0.47-20241203.0.git889894a6.fc39.x86_64
Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.os9XUi
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys
+ DOCDIR=/builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/doc/yosys
+ export LC_ALL=
+ LC_ALL=
+ export DOCDIR
+ /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/doc/yosys
+ cp -pr /builddir/build/BUILD/yosys/README.md /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/doc/yosys
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.dVRiqm
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys
+ LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/licenses/yosys
+ export LC_ALL=
+ LC_ALL=
+ export LICENSEDIR
+ /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/licenses/yosys
+ cp -pr /builddir/build/BUILD/yosys/COPYING /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64/usr/share/licenses/yosys
+ RPM_EC=0
++ jobs -p
+ exit 0
Provides: yosys = 0.47-20241203.0.git889894a6.fc39 yosys(x86-64) = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Requires: /usr/bin/bash /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.15)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.26)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libffi.so.8()(64bit) libffi.so.8(LIBFFI_BASE_8.0)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.35)(64bit) libm.so.6(GLIBC_2.38)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) libz.so.1()(64bit) libz.so.1(ZLIB_1.2.0)(64bit) rtld(GNU_HASH)
Processing files: yosys-doc-0.47-20241203.0.git889894a6.fc39.x86_64
Provides: yosys-doc = 0.47-20241203.0.git889894a6.fc39 yosys-doc(x86-64) = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-share-0.47-20241203.0.git889894a6.fc39.noarch
Provides: yosys-share = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-devel-0.47-20241203.0.git889894a6.fc39.x86_64
Provides: yosys-devel = 0.47-20241203.0.git889894a6.fc39 yosys-devel(x86-64) = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Requires: /usr/bin/bash
Processing files: yosys-debugsource-0.47-20241203.0.git889894a6.fc39.x86_64
Provides: yosys-debugsource = 0.47-20241203.0.git889894a6.fc39 yosys-debugsource(x86-64) = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Processing files: yosys-debuginfo-0.47-20241203.0.git889894a6.fc39.x86_64
Provides: debuginfo(build-id) = 7302d26f421523a36d3e71647baf1f1e976022b8 debuginfo(build-id) = 90dc8c1fb0accdeaaa484154ab5a519a563bdbb4 yosys-debuginfo = 0.47-20241203.0.git889894a6.fc39 yosys-debuginfo(x86-64) = 0.47-20241203.0.git889894a6.fc39
Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1
Recommends: yosys-debugsource(x86-64) = 0.47-20241203.0.git889894a6.fc39
Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
Wrote: /builddir/build/RPMS/yosys-0.47-20241203.0.git889894a6.fc39.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-share-0.47-20241203.0.git889894a6.fc39.noarch.rpm
Wrote: /builddir/build/RPMS/yosys-debugsource-0.47-20241203.0.git889894a6.fc39.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-devel-0.47-20241203.0.git889894a6.fc39.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-doc-0.47-20241203.0.git889894a6.fc39.x86_64.rpm
Wrote: /builddir/build/RPMS/yosys-debuginfo-0.47-20241203.0.git889894a6.fc39.x86_64.rpm
Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.HGNbfj
+ umask 022
+ cd /builddir/build/BUILD
+ cd yosys
+ /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.47-20241203.0.git889894a6.fc39.x86_64
+ RPM_EC=0
++ jobs -p
+ exit 0
Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.rLdo4q
+ umask 022
+ cd /builddir/build/BUILD
+ rm -rf /builddir/build/BUILD/yosys-SPECPARTS
+ rm -rf yosys yosys.gemspec
+ RPM_EC=0
++ jobs -p
+ exit 0
Finish: rpmbuild yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
Finish: build phase for yosys-0.47-20241203.0.git889894a6.fc39.src.rpm
INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan
INFO: /var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.log
/var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.librepo.log
/var/lib/mock/fedora-39-x86_64-1733187162.750318/root/var/log/dnf.rpm.log
INFO: chroot_scan: creating tarball /var/lib/copr-rpmbuild/results/chroot_scan.tar.gz
/bin/tar: Removing leading `/' from member names
INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.47-20241203.0.git889894a6.fc39.src.rpm) Config(child) 20 minutes 11 seconds
INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results
INFO: Cleaning up build root ('cleanup_on_success=True')
Start: clean chroot
INFO: unmounting tmpfs.
Finish: clean chroot
Finish: run
Running RPMResults tool
Package info:
{
    "packages": [
        {
            "name": "yosys-devel",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "x86_64"
        },
        {
            "name": "yosys-share",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "noarch"
        },
        {
            "name": "yosys-doc",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "x86_64"
        },
        {
            "name": "yosys-debuginfo",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "x86_64"
        },
        {
            "name": "yosys",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "x86_64"
        },
        {
            "name": "yosys",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "src"
        },
        {
            "name": "yosys-debugsource",
            "epoch": null,
            "version": "0.47",
            "release": "20241203.0.git889894a6.fc39",
            "arch": "x86_64"
        }
    ]
}
RPMResults finished